ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '\\\\precizikaserver\\CompanyShared\\MS CAD\\Matavimo sistemos\\Keitik liu breziniai\\3D keitikliu bre\X2\017E\X0\iniai\\A58.stp', /* time_stamp */ '2015-03-19T13:25:51+02:00', /* author */ ('EdgarasA'), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v15.2', /* originating_system */ 'Autodesk Inventor 2014', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=APPLIED_GROUP_ASSIGNMENT(#11,(#1162,#1163,#1164,#1165,#1166,#1167,#1168, #1169,#1170,#1171,#1172,#1173,#1174,#1175)); #11=GROUP('A58.00.11.ipt:1',$); #12=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1944,#1945,#1946),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372972,1.)) REPRESENTATION_ITEM($) ); #13=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1950,#1951,#1952),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372375,1.)) REPRESENTATION_ITEM($) ); #14=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1969,#1970,#1971),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372972,1.)) REPRESENTATION_ITEM($) ); #15=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1975,#1976,#1977),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372375,1.)) REPRESENTATION_ITEM($) ); #16=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1986,#1987,#1988),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372861,1.)) REPRESENTATION_ITEM($) ); #17=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#1996,#1997,#1998),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.,0.0426485058985449),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.00377182372084,1.)) REPRESENTATION_ITEM($) ); #18=LINE($,#1915,#51); #19=LINE($,#1920,#52); #20=LINE($,#1927,#53); #21=LINE($,#1930,#54); #22=LINE($,#1939,#55); #23=LINE($,#1948,#56); #24=LINE($,#1954,#57); #25=LINE($,#1956,#58); #26=LINE($,#1957,#59); #27=LINE($,#1962,#60); #28=LINE($,#1973,#61); #29=LINE($,#1979,#62); #30=LINE($,#1981,#63); #31=LINE($,#1982,#64); #32=LINE($,#1990,#65); #33=LINE($,#1992,#66); #34=LINE($,#1994,#67); #35=LINE($,#1999,#68); #36=LINE($,#2010,#69); #37=LINE($,#2015,#70); #38=LINE($,#2046,#71); #39=LINE($,#2049,#72); #40=LINE($,#2052,#73); #41=LINE($,#2054,#74); #42=LINE($,#2055,#75); #43=LINE($,#2063,#76); #44=LINE($,#2064,#77); #45=LINE($,#2066,#78); #46=LINE($,#2067,#79); #47=LINE($,#2247,#80); #48=LINE($,#2252,#81); #49=LINE($,#2259,#82); #50=LINE($,#2262,#83); #51=VECTOR($,#1557,3.99193090762989); #52=VECTOR($,#1562,3.99193090762989); #53=VECTOR($,#1569,4.30087008331054); #54=VECTOR($,#1572,4.30087008331054); #55=VECTOR($,#1581,3.76696164036748); #56=VECTOR($,#1586,3.16069612585584); #57=VECTOR($,#1587,0.300000000000003); #58=VECTOR($,#1588,3.76696164036748); #59=VECTOR($,#1589,0.300000000000003); #60=VECTOR($,#1594,3.16069612585584); #61=VECTOR($,#1603,3.16069612585584); #62=VECTOR($,#1604,0.300000000000003); #63=VECTOR($,#1605,3.76696164036748); #64=VECTOR($,#1606,0.300000000000003); #65=VECTOR($,#1609,0.300000000000003); #66=VECTOR($,#1610,3.76696164036748); #67=VECTOR($,#1611,0.300000000000003); #68=VECTOR($,#1612,3.16069612585584); #69=VECTOR($,#1629,3.76696164036748); #70=VECTOR($,#1634,3.76696164036748); #71=VECTOR($,#1645,7.79919353527451); #72=VECTOR($,#1648,7.29822128134701); #73=VECTOR($,#1651,7.99999999999999); #74=VECTOR($,#1652,8.92296279363146); #75=VECTOR($,#1653,8.99999999999999); #76=VECTOR($,#1662,8.99999999999999); #77=VECTOR($,#1663,4.00075756488815); #78=VECTOR($,#1664,4.4); #79=VECTOR($,#1665,7.99999999999999); #80=VECTOR($,#1700,3.99193090762989); #81=VECTOR($,#1705,3.99193090762989); #82=VECTOR($,#1712,4.30087008331054); #83=VECTOR($,#1715,4.30087008331054); #84=STYLED_ITEM($,(#2287),#1091); #85=STYLED_ITEM($,(#2287),#1092); #86=STYLED_ITEM($,(#2287),#1093); #87=STYLED_ITEM($,(#2288),#1094); #88=STYLED_ITEM($,(#2288),#1095); #89=STYLED_ITEM($,(#2288),#1096); #90=STYLED_ITEM($,(#2288),#1097); #91=STYLED_ITEM($,(#2288),#1098); #92=STYLED_ITEM($,(#2288),#1099); #93=STYLED_ITEM($,(#2289),#1100); #94=STYLED_ITEM($,(#2287),#1101); #95=STYLED_ITEM($,(#2287),#1102); #96=STYLED_ITEM($,(#2287),#1103); #97=STYLED_ITEM($,(#2287),#1104); #98=STYLED_ITEM($,(#2287),#1105); #99=STYLED_ITEM($,(#2287),#1106); #100=STYLED_ITEM($,(#2287),#1107); #101=STYLED_ITEM($,(#2287),#1108); #102=STYLED_ITEM($,(#2287),#1109); #103=STYLED_ITEM($,(#2287),#1110); #104=STYLED_ITEM($,(#2287),#1111); #105=STYLED_ITEM($,(#2286),#1112); #106=STYLED_ITEM($,(#2286),#1113); #107=STYLED_ITEM($,(#2286),#1114); #108=STYLED_ITEM($,(#2286),#1115); #109=STYLED_ITEM($,(#2286),#1116); #110=STYLED_ITEM($,(#2286),#1117); #111=STYLED_ITEM($,(#2286),#1118); #112=STYLED_ITEM($,(#2286),#1119); #113=STYLED_ITEM($,(#2286),#1120); #114=STYLED_ITEM($,(#2286),#1121); #115=STYLED_ITEM($,(#2286),#1122); #116=STYLED_ITEM($,(#2290),#1123); #117=STYLED_ITEM($,(#2290),#1124); #118=STYLED_ITEM($,(#2290),#1125); #119=STYLED_ITEM($,(#2290),#1126); #120=STYLED_ITEM($,(#2290),#1127); #121=STYLED_ITEM($,(#2290),#1128); #122=STYLED_ITEM($,(#2290),#1129); #123=STYLED_ITEM($,(#2290),#1130); #124=STYLED_ITEM($,(#2290),#1131); #125=STYLED_ITEM($,(#2290),#1132); #126=STYLED_ITEM($,(#2290),#1133); #127=STYLED_ITEM($,(#2290),#1134); #128=STYLED_ITEM($,(#2287),#1135); #129=STYLED_ITEM($,(#2287),#1136); #130=STYLED_ITEM($,(#2287),#1137); #131=STYLED_ITEM($,(#2287),#1138); #132=B_SPLINE_CURVE_WITH_KNOTS($,3,(#1773,#1774,#1775,#1776,#1777,#1778, #1779,#1780,#1781,#1782,#1783,#1784,#1785,#1786),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,4),(1.34029023689384,1.35123309095169,1.41256535980879,1.47389762866589, 1.53522989752298,1.59656216638008,1.60750502043794),.UNSPECIFIED.); #133=B_SPLINE_CURVE_WITH_KNOTS($,3,(#1787,#1788,#1789,#1790,#1791,#1792, #1793,#1794,#1795,#1796,#1797,#1798,#1799,#1800),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,4),(1.34029023689384,1.35123309095169,1.41256535980879,1.47389762866589, 1.53522989752298,1.59656216638008,1.60750502043794),.UNSPECIFIED.); #134=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2020,#2021,#2022,#2023,#2024,#2025, #2026,#2027,#2028,#2029),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.468634384414338, 0.510863240084063,0.550888115880805,0.588566540453604,0.62445766330847), .UNSPECIFIED.); #135=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2033,#2034,#2035,#2036,#2037,#2038, #2039,#2040,#2041,#2042),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0.631244305060048, 0.666915649064856,0.704724151514576,0.745363066570942,0.788689937550128), .UNSPECIFIED.); #136=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2071,#2072,#2073,#2074,#2075,#2076, #2077,#2078),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(3.42320042038858,3.48314643718263, 3.87794207542558,4.06396765464195),.UNSPECIFIED.); #137=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2081,#2082,#2083,#2084,#2085,#2086, #2087,#2088,#2089,#2090,#2091,#2092,#2093,#2094,#2095,#2096,#2097,#2098, #2099,#2100,#2101,#2102,#2103,#2104,#2105,#2106),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,4),(1.63301596358275,1.81904154279912,2.21383718104207, 2.3323320024437,2.45082682384534,2.57791075835088,2.71320128373161,2.84849180911235, 2.98378233449308,3.11907285987382,3.24615679437935,3.36465161578099,3.42320042038858), .UNSPECIFIED.); #138=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2110,#2111,#2112,#2113,#2114,#2115, #2116,#2117,#2118,#2119,#2120,#2121,#2122,#2123,#2124,#2125,#2126,#2127), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.311183908449112,0.389286748079059, 0.467389587709005,0.544878702303615,0.622367816898225,0.699856931492835, 0.777346046087445,0.855448885717391,0.933551725347337),.UNSPECIFIED.); #139=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2128,#2129,#2130,#2131,#2132,#2133, #2134,#2135,#2136,#2137,#2138,#2139,#2140,#2141,#2142,#2143,#2144,#2145, #2146),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,2,2,4),(0.933551725347337,1.01165456497728, 1.08975740460723,1.16724651920184,1.24473563379645,1.32222474839106,1.39971386298567, 1.47781670261562,1.55591954224556),.UNSPECIFIED.); #140=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2154,#2155,#2156,#2157,#2158,#2159, #2160,#2161,#2162,#2163,#2164,#2165,#2166,#2167,#2168,#2169,#2170,#2171), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.311183908449112,0.389286748079058, 0.467389587709004,0.544878702303614,0.622367816898224,0.699856931492834, 0.777346046087444,0.85544888571739,0.933551725347336),.UNSPECIFIED.); #141=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2172,#2173,#2174,#2175,#2176,#2177, #2178,#2179,#2180,#2181,#2182,#2183,#2184,#2185,#2186,#2187,#2188,#2189, #2190),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,2,2,4),(0.933551725347336,1.01165456497728, 1.08975740460723,1.16724651920184,1.24473563379645,1.32222474839106,1.39971386298567, 1.47781670261561,1.55591954224556),.UNSPECIFIED.); #142=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2202,#2203,#2204,#2205,#2206,#2207, #2208,#2209,#2210,#2211,#2212,#2213,#2214,#2215,#2216,#2217,#2218,#2219), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.311183908449112,0.389286748079057, 0.467389587709003,0.544878702303613,0.622367816898223,0.699856931492832, 0.777346046087442,0.855448885717388,0.933551725347334),.UNSPECIFIED.); #143=B_SPLINE_CURVE_WITH_KNOTS($,3,(#2220,#2221,#2222,#2223,#2224,#2225, #2226,#2227,#2228,#2229,#2230,#2231,#2232,#2233,#2234,#2235,#2236,#2237, #2238),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,3,2,2,2,4),(0.933551725347334,1.01165456497728, 1.08975740460723,1.16724651920184,1.24473563379645,1.32222474839106,1.39971386298567, 1.47781670261561,1.55591954224556),.UNSPECIFIED.); #144=TOROIDAL_SURFACE($,#1195,25.3,0.3); #145=TOROIDAL_SURFACE($,#1240,5.29999999999988,0.299999999999879); #146=TOROIDAL_SURFACE($,#1245,6.28239999999994,0.282399999999935); #147=TOROIDAL_SURFACE($,#1251,10.7000000000001,0.299999999999879); #148=TOROIDAL_SURFACE($,#1253,9.5,0.188); #149=TOROIDAL_SURFACE($,#1273,3.3,0.3); #150=TOROIDAL_SURFACE($,#1311,28.,1.); #151=CONICAL_SURFACE($,#1185,11.25,45.); #152=CONICAL_SURFACE($,#1202,28.75,44.9999999999999); #153=CONICAL_SURFACE($,#1206,24.75,44.9999999999999); #154=CONICAL_SURFACE($,#1209,1.871,45.0000000000001); #155=CONICAL_SURFACE($,#1213,1.871,45.0000000000001); #156=CONICAL_SURFACE($,#1217,1.871,44.9999999999999); #157=CONICAL_SURFACE($,#1221,0.8105,59.); #158=CONICAL_SURFACE($,#1222,0.8105,59.); #159=CONICAL_SURFACE($,#1223,0.8105,59.); #160=CONICAL_SURFACE($,#1259,8.1875,86.3543681647618); #161=CONICAL_SURFACE($,#1261,9.0625,86.3543681647618); #162=CONICAL_SURFACE($,#1266,4.75,45.); #163=CONICAL_SURFACE($,#1277,2.85,45.); #164=CONICAL_SURFACE($,#1317,3.75,44.9999999999999); #165=CONICAL_SURFACE($,#1323,1.6,45.); #166=CONICAL_SURFACE($,#1326,1.6,45.); #167=FACE_BOUND($,#314,.T.); #168=FACE_BOUND($,#316,.T.); #169=FACE_BOUND($,#317,.T.); #170=FACE_BOUND($,#318,.T.); #171=FACE_BOUND($,#319,.T.); #172=FACE_BOUND($,#320,.T.); #173=FACE_BOUND($,#321,.T.); #174=FACE_BOUND($,#323,.T.); #175=FACE_BOUND($,#325,.T.); #176=FACE_BOUND($,#327,.T.); #177=FACE_BOUND($,#329,.T.); #178=FACE_BOUND($,#331,.T.); #179=FACE_BOUND($,#333,.T.); #180=FACE_BOUND($,#335,.T.); #181=FACE_BOUND($,#337,.T.); #182=FACE_BOUND($,#339,.T.); #183=FACE_BOUND($,#341,.T.); #184=FACE_BOUND($,#343,.T.); #185=FACE_BOUND($,#345,.T.); #186=FACE_BOUND($,#347,.T.); #187=FACE_BOUND($,#351,.T.); #188=FACE_BOUND($,#352,.T.); #189=FACE_BOUND($,#354,.T.); #190=FACE_BOUND($,#355,.T.); #191=FACE_BOUND($,#356,.T.); #192=FACE_BOUND($,#358,.T.); #193=FACE_BOUND($,#360,.T.); #194=FACE_BOUND($,#361,.T.); #195=FACE_BOUND($,#362,.T.); #196=FACE_BOUND($,#364,.T.); #197=FACE_BOUND($,#365,.T.); #198=FACE_BOUND($,#366,.T.); #199=FACE_BOUND($,#368,.T.); #200=FACE_BOUND($,#370,.T.); #201=FACE_BOUND($,#372,.T.); #202=FACE_BOUND($,#374,.T.); #203=FACE_BOUND($,#376,.T.); #204=FACE_BOUND($,#378,.T.); #205=FACE_BOUND($,#380,.T.); #206=FACE_BOUND($,#382,.T.); #207=FACE_BOUND($,#384,.T.); #208=FACE_BOUND($,#385,.T.); #209=FACE_BOUND($,#386,.T.); #210=FACE_BOUND($,#388,.T.); #211=FACE_BOUND($,#389,.T.); #212=FACE_BOUND($,#390,.T.); #213=FACE_BOUND($,#392,.T.); #214=FACE_BOUND($,#394,.T.); #215=FACE_BOUND($,#396,.T.); #216=FACE_BOUND($,#398,.T.); #217=FACE_BOUND($,#403,.T.); #218=FACE_BOUND($,#404,.T.); #219=FACE_BOUND($,#420,.T.); #220=FACE_BOUND($,#423,.T.); #221=FACE_BOUND($,#425,.T.); #222=FACE_BOUND($,#427,.T.); #223=FACE_BOUND($,#431,.T.); #224=FACE_BOUND($,#432,.T.); #225=FACE_BOUND($,#433,.T.); #226=FACE_BOUND($,#434,.T.); #227=FACE_BOUND($,#435,.T.); #228=FACE_BOUND($,#436,.T.); #229=FACE_BOUND($,#440,.T.); #230=FACE_BOUND($,#441,.T.); #231=CYLINDRICAL_SURFACE($,#1182,11.); #232=CYLINDRICAL_SURFACE($,#1200,25.); #233=CYLINDRICAL_SURFACE($,#1204,29.); #234=CYLINDRICAL_SURFACE($,#1207,2.75); #235=CYLINDRICAL_SURFACE($,#1211,1.621); #236=CYLINDRICAL_SURFACE($,#1215,1.621); #237=CYLINDRICAL_SURFACE($,#1219,1.621); #238=CYLINDRICAL_SURFACE($,#1226,2.75); #239=CYLINDRICAL_SURFACE($,#1233,29.); #240=CYLINDRICAL_SURFACE($,#1237,25.); #241=CYLINDRICAL_SURFACE($,#1271,5.); #242=CYLINDRICAL_SURFACE($,#1275,3.); #243=CYLINDRICAL_SURFACE($,#1321,3.5); #244=CYLINDRICAL_SURFACE($,#1329,5.); #245=CYLINDRICAL_SURFACE($,#1333,29.); #246=FACE_OUTER_BOUND($,#312,.T.); #247=FACE_OUTER_BOUND($,#313,.T.); #248=FACE_OUTER_BOUND($,#315,.T.); #249=FACE_OUTER_BOUND($,#322,.T.); #250=FACE_OUTER_BOUND($,#324,.T.); #251=FACE_OUTER_BOUND($,#326,.T.); #252=FACE_OUTER_BOUND($,#328,.T.); #253=FACE_OUTER_BOUND($,#330,.T.); #254=FACE_OUTER_BOUND($,#332,.T.); #255=FACE_OUTER_BOUND($,#334,.T.); #256=FACE_OUTER_BOUND($,#336,.T.); #257=FACE_OUTER_BOUND($,#338,.T.); #258=FACE_OUTER_BOUND($,#340,.T.); #259=FACE_OUTER_BOUND($,#342,.T.); #260=FACE_OUTER_BOUND($,#344,.T.); #261=FACE_OUTER_BOUND($,#346,.T.); #262=FACE_OUTER_BOUND($,#348,.T.); #263=FACE_OUTER_BOUND($,#349,.T.); #264=FACE_OUTER_BOUND($,#350,.T.); #265=FACE_OUTER_BOUND($,#353,.T.); #266=FACE_OUTER_BOUND($,#357,.T.); #267=FACE_OUTER_BOUND($,#359,.T.); #268=FACE_OUTER_BOUND($,#363,.T.); #269=FACE_OUTER_BOUND($,#367,.T.); #270=FACE_OUTER_BOUND($,#369,.T.); #271=FACE_OUTER_BOUND($,#371,.T.); #272=FACE_OUTER_BOUND($,#373,.T.); #273=FACE_OUTER_BOUND($,#375,.T.); #274=FACE_OUTER_BOUND($,#377,.T.); #275=FACE_OUTER_BOUND($,#379,.T.); #276=FACE_OUTER_BOUND($,#381,.T.); #277=FACE_OUTER_BOUND($,#383,.T.); #278=FACE_OUTER_BOUND($,#387,.T.); #279=FACE_OUTER_BOUND($,#391,.T.); #280=FACE_OUTER_BOUND($,#393,.T.); #281=FACE_OUTER_BOUND($,#395,.T.); #282=FACE_OUTER_BOUND($,#397,.T.); #283=FACE_OUTER_BOUND($,#399,.T.); #284=FACE_OUTER_BOUND($,#400,.T.); #285=FACE_OUTER_BOUND($,#401,.T.); #286=FACE_OUTER_BOUND($,#402,.T.); #287=FACE_OUTER_BOUND($,#405,.T.); #288=FACE_OUTER_BOUND($,#406,.T.); #289=FACE_OUTER_BOUND($,#407,.T.); #290=FACE_OUTER_BOUND($,#408,.T.); #291=FACE_OUTER_BOUND($,#409,.T.); #292=FACE_OUTER_BOUND($,#410,.T.); #293=FACE_OUTER_BOUND($,#411,.T.); #294=FACE_OUTER_BOUND($,#412,.T.); #295=FACE_OUTER_BOUND($,#413,.T.); #296=FACE_OUTER_BOUND($,#414,.T.); #297=FACE_OUTER_BOUND($,#415,.T.); #298=FACE_OUTER_BOUND($,#416,.T.); #299=FACE_OUTER_BOUND($,#417,.T.); #300=FACE_OUTER_BOUND($,#418,.T.); #301=FACE_OUTER_BOUND($,#419,.T.); #302=FACE_OUTER_BOUND($,#421,.T.); #303=FACE_OUTER_BOUND($,#422,.T.); #304=FACE_OUTER_BOUND($,#424,.T.); #305=FACE_OUTER_BOUND($,#426,.T.); #306=FACE_OUTER_BOUND($,#428,.T.); #307=FACE_OUTER_BOUND($,#429,.T.); #308=FACE_OUTER_BOUND($,#430,.T.); #309=FACE_OUTER_BOUND($,#437,.T.); #310=FACE_OUTER_BOUND($,#438,.T.); #311=FACE_OUTER_BOUND($,#439,.T.); #312=EDGE_LOOP($,(#812,#813,#814,#815)); #313=EDGE_LOOP($,(#816)); #314=EDGE_LOOP($,(#817)); #315=EDGE_LOOP($,(#818)); #316=EDGE_LOOP($,(#819)); #317=EDGE_LOOP($,(#820)); #318=EDGE_LOOP($,(#821,#822,#823,#824)); #319=EDGE_LOOP($,(#825)); #320=EDGE_LOOP($,(#826)); #321=EDGE_LOOP($,(#827)); #322=EDGE_LOOP($,(#828)); #323=EDGE_LOOP($,(#829)); #324=EDGE_LOOP($,(#830)); #325=EDGE_LOOP($,(#831)); #326=EDGE_LOOP($,(#832)); #327=EDGE_LOOP($,(#833)); #328=EDGE_LOOP($,(#834)); #329=EDGE_LOOP($,(#835)); #330=EDGE_LOOP($,(#836)); #331=EDGE_LOOP($,(#837)); #332=EDGE_LOOP($,(#838)); #333=EDGE_LOOP($,(#839,#840,#841,#842)); #334=EDGE_LOOP($,(#843,#844)); #335=EDGE_LOOP($,(#845)); #336=EDGE_LOOP($,(#846)); #337=EDGE_LOOP($,(#847)); #338=EDGE_LOOP($,(#848)); #339=EDGE_LOOP($,(#849)); #340=EDGE_LOOP($,(#850)); #341=EDGE_LOOP($,(#851)); #342=EDGE_LOOP($,(#852)); #343=EDGE_LOOP($,(#853)); #344=EDGE_LOOP($,(#854)); #345=EDGE_LOOP($,(#855)); #346=EDGE_LOOP($,(#856)); #347=EDGE_LOOP($,(#857)); #348=EDGE_LOOP($,(#858)); #349=EDGE_LOOP($,(#859)); #350=EDGE_LOOP($,(#860)); #351=EDGE_LOOP($,(#861)); #352=EDGE_LOOP($,(#862)); #353=EDGE_LOOP($,(#863,#864)); #354=EDGE_LOOP($,(#865)); #355=EDGE_LOOP($,(#866)); #356=EDGE_LOOP($,(#867)); #357=EDGE_LOOP($,(#868)); #358=EDGE_LOOP($,(#869)); #359=EDGE_LOOP($,(#870)); #360=EDGE_LOOP($,(#871)); #361=EDGE_LOOP($,(#872)); #362=EDGE_LOOP($,(#873)); #363=EDGE_LOOP($,(#874)); #364=EDGE_LOOP($,(#875)); #365=EDGE_LOOP($,(#876)); #366=EDGE_LOOP($,(#877)); #367=EDGE_LOOP($,(#878)); #368=EDGE_LOOP($,(#879)); #369=EDGE_LOOP($,(#880)); #370=EDGE_LOOP($,(#881)); #371=EDGE_LOOP($,(#882)); #372=EDGE_LOOP($,(#883)); #373=EDGE_LOOP($,(#884)); #374=EDGE_LOOP($,(#885)); #375=EDGE_LOOP($,(#886)); #376=EDGE_LOOP($,(#887)); #377=EDGE_LOOP($,(#888)); #378=EDGE_LOOP($,(#889)); #379=EDGE_LOOP($,(#890)); #380=EDGE_LOOP($,(#891)); #381=EDGE_LOOP($,(#892)); #382=EDGE_LOOP($,(#893)); #383=EDGE_LOOP($,(#894)); #384=EDGE_LOOP($,(#895)); #385=EDGE_LOOP($,(#896)); #386=EDGE_LOOP($,(#897)); #387=EDGE_LOOP($,(#898)); #388=EDGE_LOOP($,(#899)); #389=EDGE_LOOP($,(#900)); #390=EDGE_LOOP($,(#901)); #391=EDGE_LOOP($,(#902)); #392=EDGE_LOOP($,(#903)); #393=EDGE_LOOP($,(#904)); #394=EDGE_LOOP($,(#905)); #395=EDGE_LOOP($,(#906)); #396=EDGE_LOOP($,(#907)); #397=EDGE_LOOP($,(#908)); #398=EDGE_LOOP($,(#909)); #399=EDGE_LOOP($,(#910)); #400=EDGE_LOOP($,(#911,#912)); #401=EDGE_LOOP($,(#913,#914)); #402=EDGE_LOOP($,(#915,#916,#917,#918)); #403=EDGE_LOOP($,(#919)); #404=EDGE_LOOP($,(#920)); #405=EDGE_LOOP($,(#921,#922)); #406=EDGE_LOOP($,(#923,#924,#925,#926,#927,#928)); #407=EDGE_LOOP($,(#929,#930,#931,#932)); #408=EDGE_LOOP($,(#933,#934)); #409=EDGE_LOOP($,(#935,#936,#937,#938,#939,#940)); #410=EDGE_LOOP($,(#941,#942,#943,#944,#945,#946)); #411=EDGE_LOOP($,(#947,#948,#949,#950)); #412=EDGE_LOOP($,(#951,#952)); #413=EDGE_LOOP($,(#953,#954)); #414=EDGE_LOOP($,(#955,#956)); #415=EDGE_LOOP($,(#957,#958)); #416=EDGE_LOOP($,(#959,#960,#961,#962)); #417=EDGE_LOOP($,(#963,#964,#965,#966)); #418=EDGE_LOOP($,(#967,#968,#969,#970,#971)); #419=EDGE_LOOP($,(#972)); #420=EDGE_LOOP($,(#973)); #421=EDGE_LOOP($,(#974,#975,#976,#977,#978,#979,#980)); #422=EDGE_LOOP($,(#981,#982,#983)); #423=EDGE_LOOP($,(#984)); #424=EDGE_LOOP($,(#985,#986)); #425=EDGE_LOOP($,(#987,#988)); #426=EDGE_LOOP($,(#989,#990)); #427=EDGE_LOOP($,(#991,#992)); #428=EDGE_LOOP($,(#993,#994,#995,#996)); #429=EDGE_LOOP($,(#997,#998,#999,#1000,#1001)); #430=EDGE_LOOP($,(#1002,#1003,#1004,#1005)); #431=EDGE_LOOP($,(#1006,#1007)); #432=EDGE_LOOP($,(#1008,#1009)); #433=EDGE_LOOP($,(#1010,#1011)); #434=EDGE_LOOP($,(#1012)); #435=EDGE_LOOP($,(#1013)); #436=EDGE_LOOP($,(#1014)); #437=EDGE_LOOP($,(#1015,#1016)); #438=EDGE_LOOP($,(#1017,#1018)); #439=EDGE_LOOP($,(#1019,#1020,#1021,#1022)); #440=EDGE_LOOP($,(#1023)); #441=EDGE_LOOP($,(#1024)); #442=CIRCLE($,#1178,27.5); #443=CIRCLE($,#1179,12.5); #444=CIRCLE($,#1180,26.); #445=CIRCLE($,#1181,12.5); #446=CIRCLE($,#1183,11.); #447=CIRCLE($,#1184,11.); #448=CIRCLE($,#1186,11.5); #449=CIRCLE($,#1188,2.121); #450=CIRCLE($,#1189,24.5); #451=CIRCLE($,#1190,2.75); #452=CIRCLE($,#1191,24.5); #453=CIRCLE($,#1192,2.75); #454=CIRCLE($,#1193,2.121); #455=CIRCLE($,#1194,2.121); #456=CIRCLE($,#1196,25.3); #457=CIRCLE($,#1197,25.); #458=CIRCLE($,#1199,28.5); #459=CIRCLE($,#1201,25.); #460=CIRCLE($,#1203,29.); #461=CIRCLE($,#1205,29.); #462=CIRCLE($,#1208,2.75); #463=CIRCLE($,#1210,1.621); #464=CIRCLE($,#1212,1.621); #465=CIRCLE($,#1214,1.621); #466=CIRCLE($,#1216,1.621); #467=CIRCLE($,#1218,1.621); #468=CIRCLE($,#1220,1.621); #469=CIRCLE($,#1225,1.6); #470=CIRCLE($,#1227,2.75); #471=CIRCLE($,#1229,1.6); #472=CIRCLE($,#1231,29.); #473=CIRCLE($,#1232,25.); #474=CIRCLE($,#1234,29.); #475=CIRCLE($,#1236,26.25); #476=CIRCLE($,#1238,25.); #477=CIRCLE($,#1241,5.3); #478=CIRCLE($,#1242,5.); #479=CIRCLE($,#1244,6.); #480=CIRCLE($,#1246,6.2824); #481=CIRCLE($,#1247,6.); #482=CIRCLE($,#1249,10.7); #483=CIRCLE($,#1250,9.5); #484=CIRCLE($,#1252,11.); #485=CIRCLE($,#1254,9.5); #486=CIRCLE($,#1255,9.5); #487=CIRCLE($,#1257,7.75); #488=CIRCLE($,#1258,6.); #489=CIRCLE($,#1260,8.625); #490=CIRCLE($,#1262,9.5); #491=CIRCLE($,#1264,9.); #492=CIRCLE($,#1265,26.); #493=CIRCLE($,#1267,4.5); #494=CIRCLE($,#1268,5.); #495=CIRCLE($,#1270,3.3); #496=CIRCLE($,#1272,5.); #497=CIRCLE($,#1274,3.); #498=CIRCLE($,#1276,3.); #499=CIRCLE($,#1278,2.7); #500=CIRCLE($,#1281,2.01838502095678); #501=CIRCLE($,#1283,2.01838502095678); #502=CIRCLE($,#1285,2.17126020282667); #503=CIRCLE($,#1286,2.17126020282667); #504=CIRCLE($,#1288,1.35); #505=CIRCLE($,#1289,2.5); #506=CIRCLE($,#1291,1.90000000000001); #507=CIRCLE($,#1294,1.60000000000001); #508=CIRCLE($,#1295,1.60000000000001); #509=CIRCLE($,#1297,1.90000000000001); #510=CIRCLE($,#1301,1.60000000000001); #511=CIRCLE($,#1302,1.60000000000001); #512=CIRCLE($,#1304,1.90000000000001); #513=CIRCLE($,#1306,1.90000000000001); #514=CIRCLE($,#1308,1.90000000000001); #515=CIRCLE($,#1310,1.90000000000001); #516=CIRCLE($,#1312,29.); #517=CIRCLE($,#1313,28.); #518=CIRCLE($,#1315,5.); #519=CIRCLE($,#1318,3.5); #520=CIRCLE($,#1319,4.); #521=CIRCLE($,#1322,3.5); #522=CIRCLE($,#1324,1.1); #523=CIRCLE($,#1325,1.1); #524=CIRCLE($,#1327,1.1); #525=CIRCLE($,#1328,1.1); #526=CIRCLE($,#1330,5.); #527=CIRCLE($,#1332,29.); #528=CIRCLE($,#1334,29.); #529=CIRCLE($,#1336,28.); #530=CIRCLE($,#1338,2.01838502095678); #531=CIRCLE($,#1340,2.01838502095678); #532=CIRCLE($,#1342,2.17126020282667); #533=CIRCLE($,#1343,2.17126020282667); #534=CIRCLE($,#1345,1.35); #535=CIRCLE($,#1346,2.5); #536=VERTEX_POINT($,#1724); #537=VERTEX_POINT($,#1725); #538=VERTEX_POINT($,#1727); #539=VERTEX_POINT($,#1729); #540=VERTEX_POINT($,#1733); #541=VERTEX_POINT($,#1735); #542=VERTEX_POINT($,#1738); #543=VERTEX_POINT($,#1741); #544=VERTEX_POINT($,#1743); #545=VERTEX_POINT($,#1744); #546=VERTEX_POINT($,#1746); #547=VERTEX_POINT($,#1748); #548=VERTEX_POINT($,#1751); #549=VERTEX_POINT($,#1753); #550=VERTEX_POINT($,#1756); #551=VERTEX_POINT($,#1758); #552=VERTEX_POINT($,#1761); #553=VERTEX_POINT($,#1764); #554=VERTEX_POINT($,#1767); #555=VERTEX_POINT($,#1770); #556=VERTEX_POINT($,#1802); #557=VERTEX_POINT($,#1805); #558=VERTEX_POINT($,#1808); #559=VERTEX_POINT($,#1811); #560=VERTEX_POINT($,#1814); #561=VERTEX_POINT($,#1817); #562=VERTEX_POINT($,#1820); #563=VERTEX_POINT($,#1826); #564=VERTEX_POINT($,#1829); #565=VERTEX_POINT($,#1832); #566=VERTEX_POINT($,#1835); #567=VERTEX_POINT($,#1837); #568=VERTEX_POINT($,#1840); #569=VERTEX_POINT($,#1843); #570=VERTEX_POINT($,#1846); #571=VERTEX_POINT($,#1850); #572=VERTEX_POINT($,#1852); #573=VERTEX_POINT($,#1855); #574=VERTEX_POINT($,#1858); #575=VERTEX_POINT($,#1860); #576=VERTEX_POINT($,#1863); #577=VERTEX_POINT($,#1865); #578=VERTEX_POINT($,#1868); #579=VERTEX_POINT($,#1871); #580=VERTEX_POINT($,#1873); #581=VERTEX_POINT($,#1876); #582=VERTEX_POINT($,#1878); #583=VERTEX_POINT($,#1881); #584=VERTEX_POINT($,#1884); #585=VERTEX_POINT($,#1887); #586=VERTEX_POINT($,#1889); #587=VERTEX_POINT($,#1892); #588=VERTEX_POINT($,#1894); #589=VERTEX_POINT($,#1897); #590=VERTEX_POINT($,#1900); #591=VERTEX_POINT($,#1903); #592=VERTEX_POINT($,#1906); #593=VERTEX_POINT($,#1909); #594=VERTEX_POINT($,#1913); #595=VERTEX_POINT($,#1914); #596=VERTEX_POINT($,#1918); #597=VERTEX_POINT($,#1919); #598=VERTEX_POINT($,#1923); #599=VERTEX_POINT($,#1924); #600=VERTEX_POINT($,#1926); #601=VERTEX_POINT($,#1928); #602=VERTEX_POINT($,#1932); #603=VERTEX_POINT($,#1934); #604=VERTEX_POINT($,#1937); #605=VERTEX_POINT($,#1938); #606=VERTEX_POINT($,#1942); #607=VERTEX_POINT($,#1943); #608=VERTEX_POINT($,#1947); #609=VERTEX_POINT($,#1949); #610=VERTEX_POINT($,#1953); #611=VERTEX_POINT($,#1955); #612=VERTEX_POINT($,#1959); #613=VERTEX_POINT($,#1961); #614=VERTEX_POINT($,#1967); #615=VERTEX_POINT($,#1968); #616=VERTEX_POINT($,#1972); #617=VERTEX_POINT($,#1974); #618=VERTEX_POINT($,#1978); #619=VERTEX_POINT($,#1980); #620=VERTEX_POINT($,#1984); #621=VERTEX_POINT($,#1985); #622=VERTEX_POINT($,#1989); #623=VERTEX_POINT($,#1991); #624=VERTEX_POINT($,#1993); #625=VERTEX_POINT($,#1995); #626=VERTEX_POINT($,#2008); #627=VERTEX_POINT($,#2009); #628=VERTEX_POINT($,#2013); #629=VERTEX_POINT($,#2014); #630=VERTEX_POINT($,#2018); #631=VERTEX_POINT($,#2019); #632=VERTEX_POINT($,#2030); #633=VERTEX_POINT($,#2032); #634=VERTEX_POINT($,#2045); #635=VERTEX_POINT($,#2047); #636=VERTEX_POINT($,#2051); #637=VERTEX_POINT($,#2053); #638=VERTEX_POINT($,#2057); #639=VERTEX_POINT($,#2059); #640=VERTEX_POINT($,#2062); #641=VERTEX_POINT($,#2065); #642=VERTEX_POINT($,#2069); #643=VERTEX_POINT($,#2070); #644=VERTEX_POINT($,#2079); #645=VERTEX_POINT($,#2108); #646=VERTEX_POINT($,#2109); #647=VERTEX_POINT($,#2147); #648=VERTEX_POINT($,#2148); #649=VERTEX_POINT($,#2152); #650=VERTEX_POINT($,#2153); #651=VERTEX_POINT($,#2191); #652=VERTEX_POINT($,#2192); #653=VERTEX_POINT($,#2200); #654=VERTEX_POINT($,#2201); #655=VERTEX_POINT($,#2239); #656=VERTEX_POINT($,#2242); #657=VERTEX_POINT($,#2245); #658=VERTEX_POINT($,#2246); #659=VERTEX_POINT($,#2250); #660=VERTEX_POINT($,#2251); #661=VERTEX_POINT($,#2255); #662=VERTEX_POINT($,#2256); #663=VERTEX_POINT($,#2258); #664=VERTEX_POINT($,#2260); #665=VERTEX_POINT($,#2264); #666=VERTEX_POINT($,#2266); #667=EDGE_CURVE($,#536,#537,#442,.T.); #668=EDGE_CURVE($,#536,#538,#443,.T.); #669=EDGE_CURVE($,#538,#539,#444,.T.); #670=EDGE_CURVE($,#539,#537,#445,.T.); #671=EDGE_CURVE($,#540,#540,#446,.T.); #672=EDGE_CURVE($,#541,#541,#447,.T.); #673=EDGE_CURVE($,#542,#542,#448,.T.); #674=EDGE_CURVE($,#543,#543,#449,.T.); #675=EDGE_CURVE($,#544,#545,#450,.T.); #676=EDGE_CURVE($,#544,#546,#451,.T.); #677=EDGE_CURVE($,#547,#546,#452,.T.); #678=EDGE_CURVE($,#547,#545,#453,.T.); #679=EDGE_CURVE($,#548,#548,#454,.T.); #680=EDGE_CURVE($,#549,#549,#455,.T.); #681=EDGE_CURVE($,#550,#550,#456,.T.); #682=EDGE_CURVE($,#551,#551,#457,.T.); #683=EDGE_CURVE($,#552,#552,#458,.T.); #684=EDGE_CURVE($,#553,#553,#459,.T.); #685=EDGE_CURVE($,#554,#554,#460,.T.); #686=EDGE_CURVE($,#555,#555,#461,.T.); #687=EDGE_CURVE($,#547,#545,#132,.T.); #688=EDGE_CURVE($,#544,#546,#133,.T.); #689=EDGE_CURVE($,#556,#556,#462,.T.); #690=EDGE_CURVE($,#557,#557,#463,.T.); #691=EDGE_CURVE($,#558,#558,#464,.T.); #692=EDGE_CURVE($,#559,#559,#465,.T.); #693=EDGE_CURVE($,#560,#560,#466,.T.); #694=EDGE_CURVE($,#561,#561,#467,.T.); #695=EDGE_CURVE($,#562,#562,#468,.T.); #696=EDGE_CURVE($,#563,#563,#469,.T.); #697=EDGE_CURVE($,#564,#564,#470,.T.); #698=EDGE_CURVE($,#565,#565,#471,.T.); #699=EDGE_CURVE($,#566,#566,#472,.T.); #700=EDGE_CURVE($,#567,#567,#473,.T.); #701=EDGE_CURVE($,#568,#568,#474,.T.); #702=EDGE_CURVE($,#569,#569,#475,.T.); #703=EDGE_CURVE($,#570,#570,#476,.T.); #704=EDGE_CURVE($,#571,#571,#477,.T.); #705=EDGE_CURVE($,#572,#572,#478,.T.); #706=EDGE_CURVE($,#573,#573,#479,.T.); #707=EDGE_CURVE($,#574,#574,#480,.T.); #708=EDGE_CURVE($,#575,#575,#481,.T.); #709=EDGE_CURVE($,#576,#576,#482,.T.); #710=EDGE_CURVE($,#577,#577,#483,.T.); #711=EDGE_CURVE($,#578,#578,#484,.T.); #712=EDGE_CURVE($,#579,#579,#485,.T.); #713=EDGE_CURVE($,#580,#580,#486,.T.); #714=EDGE_CURVE($,#581,#581,#487,.T.); #715=EDGE_CURVE($,#582,#582,#488,.T.); #716=EDGE_CURVE($,#583,#583,#489,.T.); #717=EDGE_CURVE($,#584,#584,#490,.T.); #718=EDGE_CURVE($,#585,#585,#491,.T.); #719=EDGE_CURVE($,#586,#586,#492,.T.); #720=EDGE_CURVE($,#587,#587,#493,.T.); #721=EDGE_CURVE($,#588,#588,#494,.T.); #722=EDGE_CURVE($,#589,#589,#495,.T.); #723=EDGE_CURVE($,#590,#590,#496,.T.); #724=EDGE_CURVE($,#591,#591,#497,.T.); #725=EDGE_CURVE($,#592,#592,#498,.T.); #726=EDGE_CURVE($,#593,#593,#499,.T.); #727=EDGE_CURVE($,#594,#595,#18,.T.); #728=EDGE_CURVE($,#594,#595,#500,.T.); #729=EDGE_CURVE($,#596,#597,#19,.T.); #730=EDGE_CURVE($,#596,#597,#501,.T.); #731=EDGE_CURVE($,#598,#599,#502,.T.); #732=EDGE_CURVE($,#598,#600,#20,.T.); #733=EDGE_CURVE($,#601,#600,#503,.T.); #734=EDGE_CURVE($,#601,#599,#21,.T.); #735=EDGE_CURVE($,#602,#602,#504,.T.); #736=EDGE_CURVE($,#603,#603,#505,.T.); #737=EDGE_CURVE($,#604,#605,#22,.T.); #738=EDGE_CURVE($,#604,#605,#506,.T.); #739=EDGE_CURVE($,#606,#607,#12,.T.); #740=EDGE_CURVE($,#608,#606,#23,.T.); #741=EDGE_CURVE($,#609,#608,#13,.T.); #742=EDGE_CURVE($,#610,#609,#24,.T.); #743=EDGE_CURVE($,#611,#610,#25,.T.); #744=EDGE_CURVE($,#607,#611,#26,.T.); #745=EDGE_CURVE($,#612,#606,#507,.T.); #746=EDGE_CURVE($,#612,#613,#27,.T.); #747=EDGE_CURVE($,#608,#613,#508,.T.); #748=EDGE_CURVE($,#611,#610,#509,.T.); #749=EDGE_CURVE($,#614,#615,#14,.T.); #750=EDGE_CURVE($,#616,#614,#28,.T.); #751=EDGE_CURVE($,#617,#616,#15,.T.); #752=EDGE_CURVE($,#618,#617,#29,.T.); #753=EDGE_CURVE($,#619,#618,#30,.T.); #754=EDGE_CURVE($,#615,#619,#31,.T.); #755=EDGE_CURVE($,#620,#621,#16,.T.); #756=EDGE_CURVE($,#622,#620,#32,.T.); #757=EDGE_CURVE($,#623,#622,#33,.T.); #758=EDGE_CURVE($,#624,#623,#34,.T.); #759=EDGE_CURVE($,#625,#624,#17,.T.); #760=EDGE_CURVE($,#621,#625,#35,.T.); #761=EDGE_CURVE($,#621,#614,#510,.T.); #762=EDGE_CURVE($,#616,#625,#511,.T.); #763=EDGE_CURVE($,#623,#622,#512,.T.); #764=EDGE_CURVE($,#619,#618,#513,.T.); #765=EDGE_CURVE($,#626,#627,#36,.T.); #766=EDGE_CURVE($,#626,#627,#514,.T.); #767=EDGE_CURVE($,#628,#629,#37,.T.); #768=EDGE_CURVE($,#628,#629,#515,.T.); #769=EDGE_CURVE($,#630,#631,#134,.T.); #770=EDGE_CURVE($,#631,#632,#516,.T.); #771=EDGE_CURVE($,#632,#633,#135,.T.); #772=EDGE_CURVE($,#633,#630,#517,.T.); #773=EDGE_CURVE($,#633,#634,#38,.T.); #774=EDGE_CURVE($,#634,#635,#518,.T.); #775=EDGE_CURVE($,#635,#630,#39,.T.); #776=EDGE_CURVE($,#636,#632,#40,.T.); #777=EDGE_CURVE($,#637,#636,#41,.T.); #778=EDGE_CURVE($,#634,#637,#42,.T.); #779=EDGE_CURVE($,#638,#638,#519,.T.); #780=EDGE_CURVE($,#639,#639,#520,.T.); #781=EDGE_CURVE($,#635,#640,#43,.T.); #782=EDGE_CURVE($,#639,#640,#44,.T.); #783=EDGE_CURVE($,#641,#639,#45,.T.); #784=EDGE_CURVE($,#631,#641,#46,.T.); #785=EDGE_CURVE($,#642,#643,#136,.T.); #786=EDGE_CURVE($,#644,#643,#521,.T.); #787=EDGE_CURVE($,#644,#642,#137,.T.); #788=EDGE_CURVE($,#645,#646,#138,.T.); #789=EDGE_CURVE($,#646,#645,#139,.T.); #790=EDGE_CURVE($,#647,#648,#522,.T.); #791=EDGE_CURVE($,#648,#647,#523,.T.); #792=EDGE_CURVE($,#649,#650,#140,.T.); #793=EDGE_CURVE($,#650,#649,#141,.T.); #794=EDGE_CURVE($,#651,#652,#524,.T.); #795=EDGE_CURVE($,#652,#651,#525,.T.); #796=EDGE_CURVE($,#640,#637,#526,.T.); #797=EDGE_CURVE($,#641,#636,#527,.T.); #798=EDGE_CURVE($,#653,#654,#142,.T.); #799=EDGE_CURVE($,#654,#653,#143,.T.); #800=EDGE_CURVE($,#655,#655,#528,.T.); #801=EDGE_CURVE($,#656,#656,#529,.T.); #802=EDGE_CURVE($,#657,#658,#47,.T.); #803=EDGE_CURVE($,#657,#658,#530,.T.); #804=EDGE_CURVE($,#659,#660,#48,.T.); #805=EDGE_CURVE($,#659,#660,#531,.T.); #806=EDGE_CURVE($,#661,#662,#532,.T.); #807=EDGE_CURVE($,#661,#663,#49,.T.); #808=EDGE_CURVE($,#664,#663,#533,.T.); #809=EDGE_CURVE($,#664,#662,#50,.T.); #810=EDGE_CURVE($,#665,#665,#534,.T.); #811=EDGE_CURVE($,#666,#666,#535,.T.); #812=ORIENTED_EDGE($,*,*,#667,.F.); #813=ORIENTED_EDGE($,*,*,#668,.T.); #814=ORIENTED_EDGE($,*,*,#669,.T.); #815=ORIENTED_EDGE($,*,*,#670,.T.); #816=ORIENTED_EDGE($,*,*,#671,.F.); #817=ORIENTED_EDGE($,*,*,#672,.F.); #818=ORIENTED_EDGE($,*,*,#671,.T.); #819=ORIENTED_EDGE($,*,*,#673,.T.); #820=ORIENTED_EDGE($,*,*,#674,.F.); #821=ORIENTED_EDGE($,*,*,#675,.F.); #822=ORIENTED_EDGE($,*,*,#676,.T.); #823=ORIENTED_EDGE($,*,*,#677,.F.); #824=ORIENTED_EDGE($,*,*,#678,.T.); #825=ORIENTED_EDGE($,*,*,#679,.F.); #826=ORIENTED_EDGE($,*,*,#680,.F.); #827=ORIENTED_EDGE($,*,*,#673,.F.); #828=ORIENTED_EDGE($,*,*,#681,.T.); #829=ORIENTED_EDGE($,*,*,#682,.T.); #830=ORIENTED_EDGE($,*,*,#683,.F.); #831=ORIENTED_EDGE($,*,*,#681,.F.); #832=ORIENTED_EDGE($,*,*,#684,.F.); #833=ORIENTED_EDGE($,*,*,#682,.F.); #834=ORIENTED_EDGE($,*,*,#685,.T.); #835=ORIENTED_EDGE($,*,*,#683,.T.); #836=ORIENTED_EDGE($,*,*,#686,.T.); #837=ORIENTED_EDGE($,*,*,#685,.F.); #838=ORIENTED_EDGE($,*,*,#684,.T.); #839=ORIENTED_EDGE($,*,*,#687,.F.); #840=ORIENTED_EDGE($,*,*,#677,.T.); #841=ORIENTED_EDGE($,*,*,#688,.F.); #842=ORIENTED_EDGE($,*,*,#675,.T.); #843=ORIENTED_EDGE($,*,*,#688,.T.); #844=ORIENTED_EDGE($,*,*,#676,.F.); #845=ORIENTED_EDGE($,*,*,#689,.F.); #846=ORIENTED_EDGE($,*,*,#690,.T.); #847=ORIENTED_EDGE($,*,*,#680,.T.); #848=ORIENTED_EDGE($,*,*,#691,.F.); #849=ORIENTED_EDGE($,*,*,#690,.F.); #850=ORIENTED_EDGE($,*,*,#692,.T.); #851=ORIENTED_EDGE($,*,*,#674,.T.); #852=ORIENTED_EDGE($,*,*,#693,.F.); #853=ORIENTED_EDGE($,*,*,#692,.F.); #854=ORIENTED_EDGE($,*,*,#694,.T.); #855=ORIENTED_EDGE($,*,*,#679,.T.); #856=ORIENTED_EDGE($,*,*,#695,.F.); #857=ORIENTED_EDGE($,*,*,#694,.F.); #858=ORIENTED_EDGE($,*,*,#691,.T.); #859=ORIENTED_EDGE($,*,*,#693,.T.); #860=ORIENTED_EDGE($,*,*,#695,.T.); #861=ORIENTED_EDGE($,*,*,#696,.T.); #862=ORIENTED_EDGE($,*,*,#689,.T.); #863=ORIENTED_EDGE($,*,*,#687,.T.); #864=ORIENTED_EDGE($,*,*,#678,.F.); #865=ORIENTED_EDGE($,*,*,#697,.F.); #866=ORIENTED_EDGE($,*,*,#698,.T.); #867=ORIENTED_EDGE($,*,*,#697,.T.); #868=ORIENTED_EDGE($,*,*,#699,.T.); #869=ORIENTED_EDGE($,*,*,#700,.F.); #870=ORIENTED_EDGE($,*,*,#701,.T.); #871=ORIENTED_EDGE($,*,*,#699,.F.); #872=ORIENTED_EDGE($,*,*,#702,.T.); #873=ORIENTED_EDGE($,*,*,#701,.F.); #874=ORIENTED_EDGE($,*,*,#700,.T.); #875=ORIENTED_EDGE($,*,*,#703,.F.); #876=ORIENTED_EDGE($,*,*,#703,.T.); #877=ORIENTED_EDGE($,*,*,#686,.F.); #878=ORIENTED_EDGE($,*,*,#704,.F.); #879=ORIENTED_EDGE($,*,*,#705,.T.); #880=ORIENTED_EDGE($,*,*,#706,.T.); #881=ORIENTED_EDGE($,*,*,#704,.T.); #882=ORIENTED_EDGE($,*,*,#707,.T.); #883=ORIENTED_EDGE($,*,*,#708,.F.); #884=ORIENTED_EDGE($,*,*,#709,.F.); #885=ORIENTED_EDGE($,*,*,#710,.T.); #886=ORIENTED_EDGE($,*,*,#711,.F.); #887=ORIENTED_EDGE($,*,*,#709,.T.); #888=ORIENTED_EDGE($,*,*,#712,.F.); #889=ORIENTED_EDGE($,*,*,#713,.T.); #890=ORIENTED_EDGE($,*,*,#714,.F.); #891=ORIENTED_EDGE($,*,*,#715,.T.); #892=ORIENTED_EDGE($,*,*,#716,.F.); #893=ORIENTED_EDGE($,*,*,#714,.T.); #894=ORIENTED_EDGE($,*,*,#717,.F.); #895=ORIENTED_EDGE($,*,*,#716,.T.); #896=ORIENTED_EDGE($,*,*,#718,.T.); #897=ORIENTED_EDGE($,*,*,#719,.F.); #898=ORIENTED_EDGE($,*,*,#720,.T.); #899=ORIENTED_EDGE($,*,*,#721,.T.); #900=ORIENTED_EDGE($,*,*,#722,.F.); #901=ORIENTED_EDGE($,*,*,#720,.F.); #902=ORIENTED_EDGE($,*,*,#723,.T.); #903=ORIENTED_EDGE($,*,*,#721,.F.); #904=ORIENTED_EDGE($,*,*,#724,.T.); #905=ORIENTED_EDGE($,*,*,#722,.T.); #906=ORIENTED_EDGE($,*,*,#725,.F.); #907=ORIENTED_EDGE($,*,*,#724,.F.); #908=ORIENTED_EDGE($,*,*,#726,.T.); #909=ORIENTED_EDGE($,*,*,#725,.T.); #910=ORIENTED_EDGE($,*,*,#726,.F.); #911=ORIENTED_EDGE($,*,*,#727,.T.); #912=ORIENTED_EDGE($,*,*,#728,.F.); #913=ORIENTED_EDGE($,*,*,#729,.T.); #914=ORIENTED_EDGE($,*,*,#730,.F.); #915=ORIENTED_EDGE($,*,*,#731,.F.); #916=ORIENTED_EDGE($,*,*,#732,.T.); #917=ORIENTED_EDGE($,*,*,#733,.F.); #918=ORIENTED_EDGE($,*,*,#734,.T.); #919=ORIENTED_EDGE($,*,*,#735,.T.); #920=ORIENTED_EDGE($,*,*,#736,.T.); #921=ORIENTED_EDGE($,*,*,#737,.T.); #922=ORIENTED_EDGE($,*,*,#738,.F.); #923=ORIENTED_EDGE($,*,*,#739,.F.); #924=ORIENTED_EDGE($,*,*,#740,.F.); #925=ORIENTED_EDGE($,*,*,#741,.F.); #926=ORIENTED_EDGE($,*,*,#742,.F.); #927=ORIENTED_EDGE($,*,*,#743,.F.); #928=ORIENTED_EDGE($,*,*,#744,.F.); #929=ORIENTED_EDGE($,*,*,#745,.F.); #930=ORIENTED_EDGE($,*,*,#746,.T.); #931=ORIENTED_EDGE($,*,*,#747,.F.); #932=ORIENTED_EDGE($,*,*,#740,.T.); #933=ORIENTED_EDGE($,*,*,#743,.T.); #934=ORIENTED_EDGE($,*,*,#748,.F.); #935=ORIENTED_EDGE($,*,*,#749,.F.); #936=ORIENTED_EDGE($,*,*,#750,.F.); #937=ORIENTED_EDGE($,*,*,#751,.F.); #938=ORIENTED_EDGE($,*,*,#752,.F.); #939=ORIENTED_EDGE($,*,*,#753,.F.); #940=ORIENTED_EDGE($,*,*,#754,.F.); #941=ORIENTED_EDGE($,*,*,#755,.F.); #942=ORIENTED_EDGE($,*,*,#756,.F.); #943=ORIENTED_EDGE($,*,*,#757,.F.); #944=ORIENTED_EDGE($,*,*,#758,.F.); #945=ORIENTED_EDGE($,*,*,#759,.F.); #946=ORIENTED_EDGE($,*,*,#760,.F.); #947=ORIENTED_EDGE($,*,*,#761,.F.); #948=ORIENTED_EDGE($,*,*,#760,.T.); #949=ORIENTED_EDGE($,*,*,#762,.F.); #950=ORIENTED_EDGE($,*,*,#750,.T.); #951=ORIENTED_EDGE($,*,*,#757,.T.); #952=ORIENTED_EDGE($,*,*,#763,.F.); #953=ORIENTED_EDGE($,*,*,#753,.T.); #954=ORIENTED_EDGE($,*,*,#764,.F.); #955=ORIENTED_EDGE($,*,*,#765,.T.); #956=ORIENTED_EDGE($,*,*,#766,.F.); #957=ORIENTED_EDGE($,*,*,#767,.T.); #958=ORIENTED_EDGE($,*,*,#768,.F.); #959=ORIENTED_EDGE($,*,*,#769,.T.); #960=ORIENTED_EDGE($,*,*,#770,.T.); #961=ORIENTED_EDGE($,*,*,#771,.T.); #962=ORIENTED_EDGE($,*,*,#772,.T.); #963=ORIENTED_EDGE($,*,*,#772,.F.); #964=ORIENTED_EDGE($,*,*,#773,.T.); #965=ORIENTED_EDGE($,*,*,#774,.T.); #966=ORIENTED_EDGE($,*,*,#775,.T.); #967=ORIENTED_EDGE($,*,*,#771,.F.); #968=ORIENTED_EDGE($,*,*,#776,.F.); #969=ORIENTED_EDGE($,*,*,#777,.F.); #970=ORIENTED_EDGE($,*,*,#778,.F.); #971=ORIENTED_EDGE($,*,*,#773,.F.); #972=ORIENTED_EDGE($,*,*,#779,.T.); #973=ORIENTED_EDGE($,*,*,#780,.T.); #974=ORIENTED_EDGE($,*,*,#769,.F.); #975=ORIENTED_EDGE($,*,*,#775,.F.); #976=ORIENTED_EDGE($,*,*,#781,.T.); #977=ORIENTED_EDGE($,*,*,#782,.F.); #978=ORIENTED_EDGE($,*,*,#780,.F.); #979=ORIENTED_EDGE($,*,*,#783,.F.); #980=ORIENTED_EDGE($,*,*,#784,.F.); #981=ORIENTED_EDGE($,*,*,#785,.T.); #982=ORIENTED_EDGE($,*,*,#786,.F.); #983=ORIENTED_EDGE($,*,*,#787,.T.); #984=ORIENTED_EDGE($,*,*,#779,.F.); #985=ORIENTED_EDGE($,*,*,#788,.F.); #986=ORIENTED_EDGE($,*,*,#789,.F.); #987=ORIENTED_EDGE($,*,*,#790,.T.); #988=ORIENTED_EDGE($,*,*,#791,.T.); #989=ORIENTED_EDGE($,*,*,#792,.F.); #990=ORIENTED_EDGE($,*,*,#793,.F.); #991=ORIENTED_EDGE($,*,*,#794,.T.); #992=ORIENTED_EDGE($,*,*,#795,.T.); #993=ORIENTED_EDGE($,*,*,#796,.F.); #994=ORIENTED_EDGE($,*,*,#781,.F.); #995=ORIENTED_EDGE($,*,*,#774,.F.); #996=ORIENTED_EDGE($,*,*,#778,.T.); #997=ORIENTED_EDGE($,*,*,#797,.F.); #998=ORIENTED_EDGE($,*,*,#783,.T.); #999=ORIENTED_EDGE($,*,*,#782,.T.); #1000=ORIENTED_EDGE($,*,*,#796,.T.); #1001=ORIENTED_EDGE($,*,*,#777,.T.); #1002=ORIENTED_EDGE($,*,*,#770,.F.); #1003=ORIENTED_EDGE($,*,*,#784,.T.); #1004=ORIENTED_EDGE($,*,*,#797,.T.); #1005=ORIENTED_EDGE($,*,*,#776,.T.); #1006=ORIENTED_EDGE($,*,*,#798,.T.); #1007=ORIENTED_EDGE($,*,*,#799,.T.); #1008=ORIENTED_EDGE($,*,*,#788,.T.); #1009=ORIENTED_EDGE($,*,*,#789,.T.); #1010=ORIENTED_EDGE($,*,*,#792,.T.); #1011=ORIENTED_EDGE($,*,*,#793,.T.); #1012=ORIENTED_EDGE($,*,*,#800,.F.); #1013=ORIENTED_EDGE($,*,*,#801,.T.); #1014=ORIENTED_EDGE($,*,*,#800,.T.); #1015=ORIENTED_EDGE($,*,*,#802,.T.); #1016=ORIENTED_EDGE($,*,*,#803,.F.); #1017=ORIENTED_EDGE($,*,*,#804,.T.); #1018=ORIENTED_EDGE($,*,*,#805,.F.); #1019=ORIENTED_EDGE($,*,*,#806,.F.); #1020=ORIENTED_EDGE($,*,*,#807,.T.); #1021=ORIENTED_EDGE($,*,*,#808,.F.); #1022=ORIENTED_EDGE($,*,*,#809,.T.); #1023=ORIENTED_EDGE($,*,*,#810,.T.); #1024=ORIENTED_EDGE($,*,*,#811,.T.); #1025=PLANE($,#1177); #1026=PLANE($,#1187); #1027=PLANE($,#1198); #1028=PLANE($,#1224); #1029=PLANE($,#1228); #1030=PLANE($,#1230); #1031=PLANE($,#1235); #1032=PLANE($,#1239); #1033=PLANE($,#1243); #1034=PLANE($,#1248); #1035=PLANE($,#1256); #1036=PLANE($,#1263); #1037=PLANE($,#1269); #1038=PLANE($,#1279); #1039=PLANE($,#1280); #1040=PLANE($,#1282); #1041=PLANE($,#1284); #1042=PLANE($,#1287); #1043=PLANE($,#1290); #1044=PLANE($,#1292); #1045=PLANE($,#1293); #1046=PLANE($,#1296); #1047=PLANE($,#1298); #1048=PLANE($,#1299); #1049=PLANE($,#1300); #1050=PLANE($,#1303); #1051=PLANE($,#1305); #1052=PLANE($,#1307); #1053=PLANE($,#1309); #1054=PLANE($,#1314); #1055=PLANE($,#1316); #1056=PLANE($,#1320); #1057=PLANE($,#1331); #1058=PLANE($,#1335); #1059=PLANE($,#1337); #1060=PLANE($,#1339); #1061=PLANE($,#1341); #1062=PLANE($,#1344); #1063=ADVANCED_FACE($,(#246),#1025,.F.); #1064=ADVANCED_FACE($,(#247,#167),#231,.F.); #1065=ADVANCED_FACE($,(#248,#168),#151,.F.); #1066=ADVANCED_FACE($,(#169,#170,#171,#172,#173),#1026,.T.); #1067=ADVANCED_FACE($,(#249,#174),#144,.F.); #1068=ADVANCED_FACE($,(#250,#175),#1027,.T.); #1069=ADVANCED_FACE($,(#251,#176),#232,.T.); #1070=ADVANCED_FACE($,(#252,#177),#152,.T.); #1071=ADVANCED_FACE($,(#253,#178),#233,.T.); #1072=ADVANCED_FACE($,(#254,#179),#153,.T.); #1073=ADVANCED_FACE($,(#255,#180),#234,.F.); #1074=ADVANCED_FACE($,(#256,#181),#154,.F.); #1075=ADVANCED_FACE($,(#257,#182),#235,.F.); #1076=ADVANCED_FACE($,(#258,#183),#155,.F.); #1077=ADVANCED_FACE($,(#259,#184),#236,.F.); #1078=ADVANCED_FACE($,(#260,#185),#156,.F.); #1079=ADVANCED_FACE($,(#261,#186),#237,.F.); #1080=ADVANCED_FACE($,(#262),#157,.F.); #1081=ADVANCED_FACE($,(#263),#158,.F.); #1082=ADVANCED_FACE($,(#264),#159,.F.); #1083=ADVANCED_FACE($,(#187,#188),#1028,.F.); #1084=ADVANCED_FACE($,(#265,#189),#238,.F.); #1085=ADVANCED_FACE($,(#190,#191),#1029,.F.); #1086=ADVANCED_FACE($,(#266,#192),#1030,.T.); #1087=ADVANCED_FACE($,(#267,#193),#239,.T.); #1088=ADVANCED_FACE($,(#194,#195),#1031,.F.); #1089=ADVANCED_FACE($,(#268,#196),#240,.T.); #1090=ADVANCED_FACE($,(#197,#198),#1032,.F.); #1091=ADVANCED_FACE($,(#269,#199),#145,.T.); #1092=ADVANCED_FACE($,(#270,#200),#1033,.T.); #1093=ADVANCED_FACE($,(#271,#201),#146,.F.); #1094=ADVANCED_FACE($,(#272,#202),#1034,.T.); #1095=ADVANCED_FACE($,(#273,#203),#147,.T.); #1096=ADVANCED_FACE($,(#274,#204),#148,.F.); #1097=ADVANCED_FACE($,(#275,#205),#1035,.T.); #1098=ADVANCED_FACE($,(#276,#206),#160,.T.); #1099=ADVANCED_FACE($,(#277,#207),#161,.F.); #1100=ADVANCED_FACE($,(#208,#209),#1036,.F.); #1101=ADVANCED_FACE($,(#278,#210),#162,.T.); #1102=ADVANCED_FACE($,(#211,#212),#1037,.T.); #1103=ADVANCED_FACE($,(#279,#213),#241,.T.); #1104=ADVANCED_FACE($,(#280,#214),#149,.F.); #1105=ADVANCED_FACE($,(#281,#215),#242,.T.); #1106=ADVANCED_FACE($,(#282,#216),#163,.T.); #1107=ADVANCED_FACE($,(#283),#1038,.T.); #1108=ADVANCED_FACE($,(#284),#1039,.T.); #1109=ADVANCED_FACE($,(#285),#1040,.T.); #1110=ADVANCED_FACE($,(#286),#1041,.F.); #1111=ADVANCED_FACE($,(#217,#218),#1042,.T.); #1112=ADVANCED_FACE($,(#287),#1043,.T.); #1113=ADVANCED_FACE($,(#288),#1044,.F.); #1114=ADVANCED_FACE($,(#289),#1045,.F.); #1115=ADVANCED_FACE($,(#290),#1046,.T.); #1116=ADVANCED_FACE($,(#291),#1047,.F.); #1117=ADVANCED_FACE($,(#292),#1048,.F.); #1118=ADVANCED_FACE($,(#293),#1049,.F.); #1119=ADVANCED_FACE($,(#294),#1050,.T.); #1120=ADVANCED_FACE($,(#295),#1051,.T.); #1121=ADVANCED_FACE($,(#296),#1052,.T.); #1122=ADVANCED_FACE($,(#297),#1053,.T.); #1123=ADVANCED_FACE($,(#298),#150,.T.); #1124=ADVANCED_FACE($,(#299),#1054,.F.); #1125=ADVANCED_FACE($,(#300),#1055,.F.); #1126=ADVANCED_FACE($,(#301,#219),#164,.F.); #1127=ADVANCED_FACE($,(#302),#1056,.F.); #1128=ADVANCED_FACE($,(#303,#220),#243,.F.); #1129=ADVANCED_FACE($,(#304,#221),#165,.F.); #1130=ADVANCED_FACE($,(#305,#222),#166,.F.); #1131=ADVANCED_FACE($,(#306),#244,.F.); #1132=ADVANCED_FACE($,(#307),#1057,.T.); #1133=ADVANCED_FACE($,(#308,#223,#224,#225,#226),#245,.T.); #1134=ADVANCED_FACE($,(#227,#228),#1058,.T.); #1135=ADVANCED_FACE($,(#309),#1059,.T.); #1136=ADVANCED_FACE($,(#310),#1060,.T.); #1137=ADVANCED_FACE($,(#311),#1061,.F.); #1138=ADVANCED_FACE($,(#229,#230),#1062,.T.); #1139=OPEN_SHELL($,(#1063)); #1140=OPEN_SHELL($,(#1064,#1065,#1066,#1067,#1068,#1069,#1070,#1071,#1072, #1073,#1074,#1075,#1076,#1077,#1078,#1079,#1080,#1081,#1082,#1083,#1084, #1085,#1086,#1087,#1088,#1089,#1090)); #1141=OPEN_SHELL($,(#1091,#1092)); #1142=OPEN_SHELL($,(#1093)); #1143=OPEN_SHELL($,(#1094,#1095)); #1144=OPEN_SHELL($,(#1096)); #1145=OPEN_SHELL($,(#1097,#1098,#1099)); #1146=OPEN_SHELL($,(#1100)); #1147=OPEN_SHELL($,(#1101,#1102,#1103,#1104,#1105,#1106,#1107)); #1148=OPEN_SHELL($,(#1108)); #1149=OPEN_SHELL($,(#1109)); #1150=OPEN_SHELL($,(#1110)); #1151=OPEN_SHELL($,(#1111)); #1152=OPEN_SHELL($,(#1112)); #1153=OPEN_SHELL($,(#1113,#1114,#1115)); #1154=OPEN_SHELL($,(#1116,#1117,#1118,#1119,#1120)); #1155=OPEN_SHELL($,(#1121)); #1156=OPEN_SHELL($,(#1122)); #1157=OPEN_SHELL($,(#1123,#1124,#1125,#1126,#1127,#1128,#1129,#1130,#1131, #1132,#1133,#1134)); #1158=OPEN_SHELL($,(#1135)); #1159=OPEN_SHELL($,(#1136)); #1160=OPEN_SHELL($,(#1137)); #1161=OPEN_SHELL($,(#1138)); #1162=SHELL_BASED_SURFACE_MODEL('Srf1',(#1139,#1140)); #1163=SHELL_BASED_SURFACE_MODEL('Srf1',(#1141,#1142)); #1164=SHELL_BASED_SURFACE_MODEL('Srf1',(#1143,#1144)); #1165=SHELL_BASED_SURFACE_MODEL('Srf1',(#1145)); #1166=SHELL_BASED_SURFACE_MODEL('Srf1',(#1146)); #1167=SHELL_BASED_SURFACE_MODEL('Srf1',(#1147)); #1168=SHELL_BASED_SURFACE_MODEL('Srf1',(#1148,#1149,#1150)); #1169=SHELL_BASED_SURFACE_MODEL('Srf1',(#1151)); #1170=SHELL_BASED_SURFACE_MODEL('Srf1',(#1152,#1153)); #1171=SHELL_BASED_SURFACE_MODEL('Srf1',(#1154)); #1172=SHELL_BASED_SURFACE_MODEL('Srf1',(#1155,#1156)); #1173=SHELL_BASED_SURFACE_MODEL('Srf1',(#1157)); #1174=SHELL_BASED_SURFACE_MODEL('Srf1',(#1158,#1159,#1160)); #1175=SHELL_BASED_SURFACE_MODEL('Srf1',(#1161)); #1176=AXIS2_PLACEMENT_3D('placement',#1722,#1347,#1348); #1177=AXIS2_PLACEMENT_3D($,#1723,#1349,#1350); #1178=AXIS2_PLACEMENT_3D($,#1726,#1351,#1352); #1179=AXIS2_PLACEMENT_3D($,#1728,#1353,#1354); #1180=AXIS2_PLACEMENT_3D($,#1730,#1355,#1356); #1181=AXIS2_PLACEMENT_3D($,#1731,#1357,#1358); #1182=AXIS2_PLACEMENT_3D($,#1732,#1359,#1360); #1183=AXIS2_PLACEMENT_3D($,#1734,#1361,#1362); #1184=AXIS2_PLACEMENT_3D($,#1736,#1363,#1364); #1185=AXIS2_PLACEMENT_3D($,#1737,#1365,#1366); #1186=AXIS2_PLACEMENT_3D($,#1739,#1367,#1368); #1187=AXIS2_PLACEMENT_3D($,#1740,#1369,#1370); #1188=AXIS2_PLACEMENT_3D($,#1742,#1371,#1372); #1189=AXIS2_PLACEMENT_3D($,#1745,#1373,#1374); #1190=AXIS2_PLACEMENT_3D($,#1747,#1375,#1376); #1191=AXIS2_PLACEMENT_3D($,#1749,#1377,#1378); #1192=AXIS2_PLACEMENT_3D($,#1750,#1379,#1380); #1193=AXIS2_PLACEMENT_3D($,#1752,#1381,#1382); #1194=AXIS2_PLACEMENT_3D($,#1754,#1383,#1384); #1195=AXIS2_PLACEMENT_3D($,#1755,#1385,#1386); #1196=AXIS2_PLACEMENT_3D($,#1757,#1387,#1388); #1197=AXIS2_PLACEMENT_3D($,#1759,#1389,#1390); #1198=AXIS2_PLACEMENT_3D($,#1760,#1391,#1392); #1199=AXIS2_PLACEMENT_3D($,#1762,#1393,#1394); #1200=AXIS2_PLACEMENT_3D($,#1763,#1395,#1396); #1201=AXIS2_PLACEMENT_3D($,#1765,#1397,#1398); #1202=AXIS2_PLACEMENT_3D($,#1766,#1399,#1400); #1203=AXIS2_PLACEMENT_3D($,#1768,#1401,#1402); #1204=AXIS2_PLACEMENT_3D($,#1769,#1403,#1404); #1205=AXIS2_PLACEMENT_3D($,#1771,#1405,#1406); #1206=AXIS2_PLACEMENT_3D($,#1772,#1407,#1408); #1207=AXIS2_PLACEMENT_3D($,#1801,#1409,#1410); #1208=AXIS2_PLACEMENT_3D($,#1803,#1411,#1412); #1209=AXIS2_PLACEMENT_3D($,#1804,#1413,#1414); #1210=AXIS2_PLACEMENT_3D($,#1806,#1415,#1416); #1211=AXIS2_PLACEMENT_3D($,#1807,#1417,#1418); #1212=AXIS2_PLACEMENT_3D($,#1809,#1419,#1420); #1213=AXIS2_PLACEMENT_3D($,#1810,#1421,#1422); #1214=AXIS2_PLACEMENT_3D($,#1812,#1423,#1424); #1215=AXIS2_PLACEMENT_3D($,#1813,#1425,#1426); #1216=AXIS2_PLACEMENT_3D($,#1815,#1427,#1428); #1217=AXIS2_PLACEMENT_3D($,#1816,#1429,#1430); #1218=AXIS2_PLACEMENT_3D($,#1818,#1431,#1432); #1219=AXIS2_PLACEMENT_3D($,#1819,#1433,#1434); #1220=AXIS2_PLACEMENT_3D($,#1821,#1435,#1436); #1221=AXIS2_PLACEMENT_3D($,#1822,#1437,#1438); #1222=AXIS2_PLACEMENT_3D($,#1823,#1439,#1440); #1223=AXIS2_PLACEMENT_3D($,#1824,#1441,#1442); #1224=AXIS2_PLACEMENT_3D($,#1825,#1443,#1444); #1225=AXIS2_PLACEMENT_3D($,#1827,#1445,#1446); #1226=AXIS2_PLACEMENT_3D($,#1828,#1447,#1448); #1227=AXIS2_PLACEMENT_3D($,#1830,#1449,#1450); #1228=AXIS2_PLACEMENT_3D($,#1831,#1451,#1452); #1229=AXIS2_PLACEMENT_3D($,#1833,#1453,#1454); #1230=AXIS2_PLACEMENT_3D($,#1834,#1455,#1456); #1231=AXIS2_PLACEMENT_3D($,#1836,#1457,#1458); #1232=AXIS2_PLACEMENT_3D($,#1838,#1459,#1460); #1233=AXIS2_PLACEMENT_3D($,#1839,#1461,#1462); #1234=AXIS2_PLACEMENT_3D($,#1841,#1463,#1464); #1235=AXIS2_PLACEMENT_3D($,#1842,#1465,#1466); #1236=AXIS2_PLACEMENT_3D($,#1844,#1467,#1468); #1237=AXIS2_PLACEMENT_3D($,#1845,#1469,#1470); #1238=AXIS2_PLACEMENT_3D($,#1847,#1471,#1472); #1239=AXIS2_PLACEMENT_3D($,#1848,#1473,#1474); #1240=AXIS2_PLACEMENT_3D($,#1849,#1475,#1476); #1241=AXIS2_PLACEMENT_3D($,#1851,#1477,#1478); #1242=AXIS2_PLACEMENT_3D($,#1853,#1479,#1480); #1243=AXIS2_PLACEMENT_3D($,#1854,#1481,#1482); #1244=AXIS2_PLACEMENT_3D($,#1856,#1483,#1484); #1245=AXIS2_PLACEMENT_3D($,#1857,#1485,#1486); #1246=AXIS2_PLACEMENT_3D($,#1859,#1487,#1488); #1247=AXIS2_PLACEMENT_3D($,#1861,#1489,#1490); #1248=AXIS2_PLACEMENT_3D($,#1862,#1491,#1492); #1249=AXIS2_PLACEMENT_3D($,#1864,#1493,#1494); #1250=AXIS2_PLACEMENT_3D($,#1866,#1495,#1496); #1251=AXIS2_PLACEMENT_3D($,#1867,#1497,#1498); #1252=AXIS2_PLACEMENT_3D($,#1869,#1499,#1500); #1253=AXIS2_PLACEMENT_3D($,#1870,#1501,#1502); #1254=AXIS2_PLACEMENT_3D($,#1872,#1503,#1504); #1255=AXIS2_PLACEMENT_3D($,#1874,#1505,#1506); #1256=AXIS2_PLACEMENT_3D($,#1875,#1507,#1508); #1257=AXIS2_PLACEMENT_3D($,#1877,#1509,#1510); #1258=AXIS2_PLACEMENT_3D($,#1879,#1511,#1512); #1259=AXIS2_PLACEMENT_3D($,#1880,#1513,#1514); #1260=AXIS2_PLACEMENT_3D($,#1882,#1515,#1516); #1261=AXIS2_PLACEMENT_3D($,#1883,#1517,#1518); #1262=AXIS2_PLACEMENT_3D($,#1885,#1519,#1520); #1263=AXIS2_PLACEMENT_3D($,#1886,#1521,#1522); #1264=AXIS2_PLACEMENT_3D($,#1888,#1523,#1524); #1265=AXIS2_PLACEMENT_3D($,#1890,#1525,#1526); #1266=AXIS2_PLACEMENT_3D($,#1891,#1527,#1528); #1267=AXIS2_PLACEMENT_3D($,#1893,#1529,#1530); #1268=AXIS2_PLACEMENT_3D($,#1895,#1531,#1532); #1269=AXIS2_PLACEMENT_3D($,#1896,#1533,#1534); #1270=AXIS2_PLACEMENT_3D($,#1898,#1535,#1536); #1271=AXIS2_PLACEMENT_3D($,#1899,#1537,#1538); #1272=AXIS2_PLACEMENT_3D($,#1901,#1539,#1540); #1273=AXIS2_PLACEMENT_3D($,#1902,#1541,#1542); #1274=AXIS2_PLACEMENT_3D($,#1904,#1543,#1544); #1275=AXIS2_PLACEMENT_3D($,#1905,#1545,#1546); #1276=AXIS2_PLACEMENT_3D($,#1907,#1547,#1548); #1277=AXIS2_PLACEMENT_3D($,#1908,#1549,#1550); #1278=AXIS2_PLACEMENT_3D($,#1910,#1551,#1552); #1279=AXIS2_PLACEMENT_3D($,#1911,#1553,#1554); #1280=AXIS2_PLACEMENT_3D($,#1912,#1555,#1556); #1281=AXIS2_PLACEMENT_3D($,#1916,#1558,#1559); #1282=AXIS2_PLACEMENT_3D($,#1917,#1560,#1561); #1283=AXIS2_PLACEMENT_3D($,#1921,#1563,#1564); #1284=AXIS2_PLACEMENT_3D($,#1922,#1565,#1566); #1285=AXIS2_PLACEMENT_3D($,#1925,#1567,#1568); #1286=AXIS2_PLACEMENT_3D($,#1929,#1570,#1571); #1287=AXIS2_PLACEMENT_3D($,#1931,#1573,#1574); #1288=AXIS2_PLACEMENT_3D($,#1933,#1575,#1576); #1289=AXIS2_PLACEMENT_3D($,#1935,#1577,#1578); #1290=AXIS2_PLACEMENT_3D($,#1936,#1579,#1580); #1291=AXIS2_PLACEMENT_3D($,#1940,#1582,#1583); #1292=AXIS2_PLACEMENT_3D($,#1941,#1584,#1585); #1293=AXIS2_PLACEMENT_3D($,#1958,#1590,#1591); #1294=AXIS2_PLACEMENT_3D($,#1960,#1592,#1593); #1295=AXIS2_PLACEMENT_3D($,#1963,#1595,#1596); #1296=AXIS2_PLACEMENT_3D($,#1964,#1597,#1598); #1297=AXIS2_PLACEMENT_3D($,#1965,#1599,#1600); #1298=AXIS2_PLACEMENT_3D($,#1966,#1601,#1602); #1299=AXIS2_PLACEMENT_3D($,#1983,#1607,#1608); #1300=AXIS2_PLACEMENT_3D($,#2000,#1613,#1614); #1301=AXIS2_PLACEMENT_3D($,#2001,#1615,#1616); #1302=AXIS2_PLACEMENT_3D($,#2002,#1617,#1618); #1303=AXIS2_PLACEMENT_3D($,#2003,#1619,#1620); #1304=AXIS2_PLACEMENT_3D($,#2004,#1621,#1622); #1305=AXIS2_PLACEMENT_3D($,#2005,#1623,#1624); #1306=AXIS2_PLACEMENT_3D($,#2006,#1625,#1626); #1307=AXIS2_PLACEMENT_3D($,#2007,#1627,#1628); #1308=AXIS2_PLACEMENT_3D($,#2011,#1630,#1631); #1309=AXIS2_PLACEMENT_3D($,#2012,#1632,#1633); #1310=AXIS2_PLACEMENT_3D($,#2016,#1635,#1636); #1311=AXIS2_PLACEMENT_3D($,#2017,#1637,#1638); #1312=AXIS2_PLACEMENT_3D($,#2031,#1639,#1640); #1313=AXIS2_PLACEMENT_3D($,#2043,#1641,#1642); #1314=AXIS2_PLACEMENT_3D($,#2044,#1643,#1644); #1315=AXIS2_PLACEMENT_3D($,#2048,#1646,#1647); #1316=AXIS2_PLACEMENT_3D($,#2050,#1649,#1650); #1317=AXIS2_PLACEMENT_3D($,#2056,#1654,#1655); #1318=AXIS2_PLACEMENT_3D($,#2058,#1656,#1657); #1319=AXIS2_PLACEMENT_3D($,#2060,#1658,#1659); #1320=AXIS2_PLACEMENT_3D($,#2061,#1660,#1661); #1321=AXIS2_PLACEMENT_3D($,#2068,#1666,#1667); #1322=AXIS2_PLACEMENT_3D($,#2080,#1668,#1669); #1323=AXIS2_PLACEMENT_3D($,#2107,#1670,#1671); #1324=AXIS2_PLACEMENT_3D($,#2149,#1672,#1673); #1325=AXIS2_PLACEMENT_3D($,#2150,#1674,#1675); #1326=AXIS2_PLACEMENT_3D($,#2151,#1676,#1677); #1327=AXIS2_PLACEMENT_3D($,#2193,#1678,#1679); #1328=AXIS2_PLACEMENT_3D($,#2194,#1680,#1681); #1329=AXIS2_PLACEMENT_3D($,#2195,#1682,#1683); #1330=AXIS2_PLACEMENT_3D($,#2196,#1684,#1685); #1331=AXIS2_PLACEMENT_3D($,#2197,#1686,#1687); #1332=AXIS2_PLACEMENT_3D($,#2198,#1688,#1689); #1333=AXIS2_PLACEMENT_3D($,#2199,#1690,#1691); #1334=AXIS2_PLACEMENT_3D($,#2240,#1692,#1693); #1335=AXIS2_PLACEMENT_3D($,#2241,#1694,#1695); #1336=AXIS2_PLACEMENT_3D($,#2243,#1696,#1697); #1337=AXIS2_PLACEMENT_3D($,#2244,#1698,#1699); #1338=AXIS2_PLACEMENT_3D($,#2248,#1701,#1702); #1339=AXIS2_PLACEMENT_3D($,#2249,#1703,#1704); #1340=AXIS2_PLACEMENT_3D($,#2253,#1706,#1707); #1341=AXIS2_PLACEMENT_3D($,#2254,#1708,#1709); #1342=AXIS2_PLACEMENT_3D($,#2257,#1710,#1711); #1343=AXIS2_PLACEMENT_3D($,#2261,#1713,#1714); #1344=AXIS2_PLACEMENT_3D($,#2263,#1716,#1717); #1345=AXIS2_PLACEMENT_3D($,#2265,#1718,#1719); #1346=AXIS2_PLACEMENT_3D($,#2267,#1720,#1721); #1347=DIRECTION('axis',(0.,0.,1.)); #1348=DIRECTION('refdir',(1.,0.,0.)); #1349=DIRECTION('center_axis',(0.,0.,-1.)); #1350=DIRECTION('ref_axis',(-1.,0.,0.)); #1351=DIRECTION('center_axis',(0.,0.,-1.)); #1352=DIRECTION('ref_axis',(1.,0.,0.)); #1353=DIRECTION('center_axis',(0.,0.,-1.)); #1354=DIRECTION('ref_axis',(-0.195662654115078,-0.980671262852462,0.)); #1355=DIRECTION('center_axis',(0.,0.,-1.)); #1356=DIRECTION('ref_axis',(-1.,0.,0.)); #1357=DIRECTION('center_axis',(0.,0.,-1.)); #1358=DIRECTION('ref_axis',(0.722386435503556,-0.691489578952906,0.)); #1359=DIRECTION('center_axis',(0.,2.19128029228059E-032,-1.)); #1360=DIRECTION('ref_axis',(-1.,-4.3674938597032E-064,0.)); #1361=DIRECTION('center_axis',(0.,0.,1.)); #1362=DIRECTION('ref_axis',(-1.,-4.3674938597032E-064,0.)); #1363=DIRECTION('center_axis',(1.91510335014411E-031,1.96322503566091E-032, -1.)); #1364=DIRECTION('ref_axis',(-1.,-4.3674938597032E-064,0.)); #1365=DIRECTION('center_axis',(0.,0.,-1.)); #1366=DIRECTION('ref_axis',(-1.,-4.3674938597032E-064,0.)); #1367=DIRECTION('center_axis',(0.,0.,-1.)); #1368=DIRECTION('ref_axis',(-1.,-4.3674938597032E-064,0.)); #1369=DIRECTION('center_axis',(0.,0.,-1.)); #1370=DIRECTION('ref_axis',(-1.,0.,0.)); #1371=DIRECTION('center_axis',(0.,0.,-1.)); #1372=DIRECTION('ref_axis',(1.,0.,0.)); #1373=DIRECTION('center_axis',(0.,0.,1.)); #1374=DIRECTION('ref_axis',(-1.,0.,0.)); #1375=DIRECTION('center_axis',(0.,0.,1.)); #1376=DIRECTION('ref_axis',(1.,0.,0.)); #1377=DIRECTION('center_axis',(0.,0.,1.)); #1378=DIRECTION('ref_axis',(-1.,0.,0.)); #1379=DIRECTION('center_axis',(0.,0.,1.)); #1380=DIRECTION('ref_axis',(1.,0.,0.)); #1381=DIRECTION('center_axis',(0.,0.,-1.)); #1382=DIRECTION('ref_axis',(1.,0.,0.)); #1383=DIRECTION('center_axis',(0.,0.,-1.)); #1384=DIRECTION('ref_axis',(1.,0.,0.)); #1385=DIRECTION('center_axis',(0.,0.,-1.)); #1386=DIRECTION('ref_axis',(-1.,0.,0.)); #1387=DIRECTION('center_axis',(0.,0.,-1.)); #1388=DIRECTION('ref_axis',(-1.,0.,0.)); #1389=DIRECTION('center_axis',(0.,0.,1.)); #1390=DIRECTION('ref_axis',(-1.,0.,0.)); #1391=DIRECTION('center_axis',(0.,0.,-1.)); #1392=DIRECTION('ref_axis',(-1.,0.,0.)); #1393=DIRECTION('center_axis',(0.,0.,1.)); #1394=DIRECTION('ref_axis',(-1.,0.,0.)); #1395=DIRECTION('center_axis',(0.,0.,1.)); #1396=DIRECTION('ref_axis',(-1.,0.,0.)); #1397=DIRECTION('center_axis',(0.,0.,-1.)); #1398=DIRECTION('ref_axis',(-1.,0.,0.)); #1399=DIRECTION('center_axis',(0.,0.,1.)); #1400=DIRECTION('ref_axis',(-1.,0.,0.)); #1401=DIRECTION('center_axis',(0.,0.,-1.)); #1402=DIRECTION('ref_axis',(-1.,0.,0.)); #1403=DIRECTION('center_axis',(0.,0.,1.)); #1404=DIRECTION('ref_axis',(-1.,0.,0.)); #1405=DIRECTION('center_axis',(0.,0.,-1.)); #1406=DIRECTION('ref_axis',(-1.,0.,0.)); #1407=DIRECTION('center_axis',(0.,0.,1.)); #1408=DIRECTION('ref_axis',(-1.,0.,0.)); #1409=DIRECTION('center_axis',(0.,0.,1.)); #1410=DIRECTION('ref_axis',(1.,0.,0.)); #1411=DIRECTION('center_axis',(0.,0.,-1.)); #1412=DIRECTION('ref_axis',(1.,0.,0.)); #1413=DIRECTION('center_axis',(0.,0.,-1.)); #1414=DIRECTION('ref_axis',(1.,0.,0.)); #1415=DIRECTION('center_axis',(0.,0.,1.)); #1416=DIRECTION('ref_axis',(1.,0.,0.)); #1417=DIRECTION('center_axis',(0.,0.,-1.)); #1418=DIRECTION('ref_axis',(-1.,0.,0.)); #1419=DIRECTION('center_axis',(0.,0.,-1.)); #1420=DIRECTION('ref_axis',(-1.,0.,0.)); #1421=DIRECTION('center_axis',(0.,0.,-1.)); #1422=DIRECTION('ref_axis',(1.,0.,0.)); #1423=DIRECTION('center_axis',(0.,0.,1.)); #1424=DIRECTION('ref_axis',(1.,0.,0.)); #1425=DIRECTION('center_axis',(0.,0.,-1.)); #1426=DIRECTION('ref_axis',(-1.,0.,0.)); #1427=DIRECTION('center_axis',(0.,0.,-1.)); #1428=DIRECTION('ref_axis',(-1.,0.,0.)); #1429=DIRECTION('center_axis',(0.,0.,-1.)); #1430=DIRECTION('ref_axis',(1.,0.,0.)); #1431=DIRECTION('center_axis',(0.,0.,1.)); #1432=DIRECTION('ref_axis',(1.,0.,0.)); #1433=DIRECTION('center_axis',(0.,0.,-1.)); #1434=DIRECTION('ref_axis',(-1.,0.,0.)); #1435=DIRECTION('center_axis',(0.,0.,-1.)); #1436=DIRECTION('ref_axis',(-1.,0.,0.)); #1437=DIRECTION('center_axis',(0.,0.,-1.)); #1438=DIRECTION('ref_axis',(-1.,0.,0.)); #1439=DIRECTION('center_axis',(0.,0.,-1.)); #1440=DIRECTION('ref_axis',(-1.,0.,0.)); #1441=DIRECTION('center_axis',(0.,0.,-1.)); #1442=DIRECTION('ref_axis',(-1.,0.,0.)); #1443=DIRECTION('center_axis',(0.,0.,1.)); #1444=DIRECTION('ref_axis',(1.,0.,0.)); #1445=DIRECTION('center_axis',(0.,0.,1.)); #1446=DIRECTION('ref_axis',(1.,0.,0.)); #1447=DIRECTION('center_axis',(0.,0.,1.)); #1448=DIRECTION('ref_axis',(1.,0.,0.)); #1449=DIRECTION('center_axis',(0.,0.,-1.)); #1450=DIRECTION('ref_axis',(1.,0.,0.)); #1451=DIRECTION('center_axis',(0.,0.,1.)); #1452=DIRECTION('ref_axis',(1.,0.,0.)); #1453=DIRECTION('center_axis',(0.,0.,1.)); #1454=DIRECTION('ref_axis',(1.,0.,0.)); #1455=DIRECTION('center_axis',(0.,0.,-1.)); #1456=DIRECTION('ref_axis',(-1.,0.,0.)); #1457=DIRECTION('center_axis',(0.,0.,-1.)); #1458=DIRECTION('ref_axis',(-1.,0.,0.)); #1459=DIRECTION('center_axis',(0.,0.,-1.)); #1460=DIRECTION('ref_axis',(-1.,0.,0.)); #1461=DIRECTION('center_axis',(0.,0.,1.)); #1462=DIRECTION('ref_axis',(-1.,0.,0.)); #1463=DIRECTION('center_axis',(0.,0.,-1.)); #1464=DIRECTION('ref_axis',(-1.,0.,0.)); #1465=DIRECTION('center_axis',(0.,0.,-1.)); #1466=DIRECTION('ref_axis',(-1.,0.,0.)); #1467=DIRECTION('center_axis',(0.,0.,-1.)); #1468=DIRECTION('ref_axis',(-1.,0.,0.)); #1469=DIRECTION('center_axis',(0.,0.,1.)); #1470=DIRECTION('ref_axis',(-1.,0.,0.)); #1471=DIRECTION('center_axis',(0.,0.,-1.)); #1472=DIRECTION('ref_axis',(-1.,0.,0.)); #1473=DIRECTION('center_axis',(0.,0.,-1.)); #1474=DIRECTION('ref_axis',(-1.,0.,0.)); #1475=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1476=DIRECTION('ref_axis',(-0.983952720695744,-0.17842937940665,-9.55584817623837E-015)); #1477=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1478=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1479=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1480=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695745,1.73202886580472E-015)); #1481=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1482=DIRECTION('ref_axis',(0.983952720695745,0.17842937940665,9.54791801177635E-015)); #1483=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1484=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1485=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1486=DIRECTION('ref_axis',(0.983952720695745,0.17842937940665,9.53823095735743E-015)); #1487=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1488=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1489=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1490=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1491=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1492=DIRECTION('ref_axis',(0.983952720695745,0.17842937940665,9.54791801177635E-015)); #1493=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1494=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1495=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1496=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1497=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1498=DIRECTION('ref_axis',(-0.983952720695744,-0.17842937940665,-9.54791801177634E-015)); #1499=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1500=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1501=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1502=DIRECTION('ref_axis',(-0.983952720695744,-0.17842937940665,-9.55745254477065E-015)); #1503=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1504=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1505=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1506=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1507=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1508=DIRECTION('ref_axis',(0.983952720695745,0.17842937940665,9.54791801177635E-015)); #1509=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1510=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1511=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1512=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1513=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1514=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695745,1.73202886580472E-015)); #1515=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1516=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1517=DIRECTION('center_axis',(9.70708339380215E-015,-1.65184466608328E-033, -1.)); #1518=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1519=DIRECTION('center_axis',(-9.70708339380215E-015,1.65184466608328E-033, 1.)); #1520=DIRECTION('ref_axis',(0.17842937940665,-0.983952720695744,1.73202886580472E-015)); #1521=DIRECTION('center_axis',(1.22464679914735E-016,5.4524400085881E-049, -1.)); #1522=DIRECTION('ref_axis',(0.,1.,0.)); #1523=DIRECTION('center_axis',(1.22464679914735E-016,5.4524400085881E-049, -1.)); #1524=DIRECTION('ref_axis',(1.03846963418364E-047,1.,5.45244000858811E-049)); #1525=DIRECTION('center_axis',(1.22464679914735E-016,5.4524400085881E-049, -1.)); #1526=DIRECTION('ref_axis',(1.03846963418364E-047,1.,5.45244000858811E-049)); #1527=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1528=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215964,-6.39387456596157E-017)); #1529=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1530=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215964,-6.39387456596157E-017)); #1531=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1532=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215963,-6.39387456596157E-017)); #1533=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1534=DIRECTION('ref_axis',(-0.991060080215963,-0.133416331093041,7.105427357601E-016)); #1535=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1536=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215964,-6.39387456596156E-017)); #1537=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1538=DIRECTION('ref_axis',(-0.133416331093041,0.991060080215963,6.39387456596157E-017)); #1539=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1540=DIRECTION('ref_axis',(-0.133416331093041,0.991060080215963,6.39387456596157E-017)); #1541=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1542=DIRECTION('ref_axis',(0.991060080215964,0.133416331093041,-7.09309154621628E-016)); #1543=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1544=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215964,-6.39387456596156E-017)); #1545=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1546=DIRECTION('ref_axis',(-0.133416331093041,0.991060080215964,6.39387456596157E-017)); #1547=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1548=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215964,-6.39387456596157E-017)); #1549=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1550=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215963,-6.39387456596157E-017)); #1551=DIRECTION('center_axis',(7.1018400769036E-016,3.10893352103033E-017, 1.)); #1552=DIRECTION('ref_axis',(0.133416331093041,-0.991060080215963,-6.39387456596157E-017)); #1553=DIRECTION('center_axis',(-7.1018400769036E-016,-3.10893352103033E-017, -1.)); #1554=DIRECTION('ref_axis',(-0.991060080215963,-0.133416331093041,7.105427357601E-016)); #1555=DIRECTION('center_axis',(-6.08247819805115E-017,5.09981915685319E-034, -1.)); #1556=DIRECTION('ref_axis',(-0.234258657376614,-0.972174306101589,0.)); #1557=DIRECTION($,(0.234258657376613,0.972174306101589,-1.42487317619798E-017)); #1558=DIRECTION('center_axis',(6.08247819805115E-017,-5.09981915685319E-034, 1.)); #1559=DIRECTION('ref_axis',(0.972174306101589,-0.234258657376615,-5.91322902156842E-017)); #1560=DIRECTION('center_axis',(-6.08247819805115E-017,5.09981915685319E-034, -1.)); #1561=DIRECTION('ref_axis',(-0.234258657376614,-0.972174306101589,0.)); #1562=DIRECTION($,(-0.234258657376617,-0.972174306101589,1.42487317619801E-017)); #1563=DIRECTION('center_axis',(6.08247819805115E-017,-5.09981915685319E-034, 1.)); #1564=DIRECTION('ref_axis',(0.972174306101589,-0.234258657376615,-5.91322902156842E-017)); #1565=DIRECTION('center_axis',(6.08247819805109E-017,2.81870875734502E-031, 1.)); #1566=DIRECTION('ref_axis',(0.234258657376614,0.972174306101589,0.)); #1567=DIRECTION('center_axis',(6.08247819805109E-017,2.81870875734502E-031, 1.)); #1568=DIRECTION('ref_axis',(-0.972174306101589,0.234258657376615,5.91322902156843E-017)); #1569=DIRECTION($,(0.234258657376617,0.972174306101589,-1.42487317619801E-017)); #1570=DIRECTION('center_axis',(6.08247819805109E-017,2.81870875734502E-031, 1.)); #1571=DIRECTION('ref_axis',(-0.972174306101589,0.234258657376615,5.91322902156843E-017)); #1572=DIRECTION($,(-0.234258657376613,-0.972174306101589,1.42487317619798E-017)); #1573=DIRECTION('center_axis',(-7.83976322813459E-018,2.3689929767056E-034, -1.)); #1574=DIRECTION('ref_axis',(-1.,-1.77635683940025E-015,0.)); #1575=DIRECTION('center_axis',(7.83976322813459E-018,-2.3689929767056E-034, 1.)); #1576=DIRECTION('ref_axis',(1.73472347597681E-015,-1.,-1.38367206156154E-032)); #1577=DIRECTION('center_axis',(-7.83976322813459E-018,2.3689929767056E-034, -1.)); #1578=DIRECTION('ref_axis',(1.73472347597681E-015,-1.,-1.38367206156154E-032)); #1579=DIRECTION('center_axis',(-0.5,0.866025403784439,-4.61010774160665E-016)); #1580=DIRECTION('ref_axis',(0.794341136317966,0.458613068881571,0.398366931614698)); #1581=DIRECTION($,(-0.794341136317966,-0.458613068881571,-0.398366931614699)); #1582=DIRECTION('center_axis',(0.5,-0.866025403784439,4.61010774160665E-016)); #1583=DIRECTION('ref_axis',(0.344995882805987,0.199183465807349,-0.917226137763142)); #1584=DIRECTION('center_axis',(0.344995882805987,0.199183465807349,-0.917226137763142)); #1585=DIRECTION('ref_axis',(0.794341136317966,0.458613068881571,0.398366931614698)); #1586=DIRECTION($,(-0.794341136317966,-0.458613068881571,-0.398366931614698)); #1587=DIRECTION($,(0.5,-0.866025403784439,4.61010774160665E-016)); #1588=DIRECTION($,(0.794341136317966,0.458613068881571,0.398366931614698)); #1589=DIRECTION($,(-0.5,0.866025403784439,-4.61010774160665E-016)); #1590=DIRECTION('center_axis',(0.5,-0.866025403784439,4.61010774160665E-016)); #1591=DIRECTION('ref_axis',(-0.794341136317966,-0.458613068881571,-0.398366931614699)); #1592=DIRECTION('center_axis',(0.5,-0.866025403784439,4.61010774160665E-016)); #1593=DIRECTION('ref_axis',(0.344995882805987,0.199183465807349,-0.917226137763142)); #1594=DIRECTION($,(0.794341136317966,0.458613068881571,0.398366931614699)); #1595=DIRECTION('center_axis',(0.5,-0.866025403784439,4.61010774160665E-016)); #1596=DIRECTION('ref_axis',(0.344995882805987,0.199183465807349,-0.917226137763142)); #1597=DIRECTION('center_axis',(-0.5,0.866025403784439,-4.61010774160665E-016)); #1598=DIRECTION('ref_axis',(0.794341136317966,0.458613068881571,0.398366931614698)); #1599=DIRECTION('center_axis',(0.5,-0.866025403784439,4.61010774160665E-016)); #1600=DIRECTION('ref_axis',(0.344995882805987,0.199183465807349,-0.917226137763142)); #1601=DIRECTION('center_axis',(1.38729796430799E-015,0.993247977172838, -0.1160105850432)); #1602=DIRECTION('ref_axis',(0.,-0.1160105850432,-0.993247977172838)); #1603=DIRECTION($,(1.00386721949389E-016,0.1160105850432,0.993247977172838)); #1604=DIRECTION($,(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1605=DIRECTION($,(-1.00386721949389E-016,-0.1160105850432,-0.993247977172838)); #1606=DIRECTION($,(1.,-1.38957681912883E-015,6.12323399573684E-017)); #1607=DIRECTION('center_axis',(-1.38729796430799E-015,-0.993247977172838, 0.116010585043202)); #1608=DIRECTION('ref_axis',(0.,0.116010585043202,0.993247977172838)); #1609=DIRECTION($,(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1610=DIRECTION($,(1.00386721949391E-016,0.116010585043202,0.993247977172838)); #1611=DIRECTION($,(1.,-1.38957681912883E-015,6.12323399573684E-017)); #1612=DIRECTION($,(-1.00386721949391E-016,-0.116010585043202,-0.993247977172838)); #1613=DIRECTION('center_axis',(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1614=DIRECTION('ref_axis',(0.,0.116010585043201,0.993247977172838)); #1615=DIRECTION('center_axis',(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1616=DIRECTION('ref_axis',(1.38729796430799E-015,0.993247977172838,-0.116010585043201)); #1617=DIRECTION('center_axis',(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1618=DIRECTION('ref_axis',(1.38729796430799E-015,0.993247977172838,-0.116010585043201)); #1619=DIRECTION('center_axis',(1.,-1.38957681912883E-015,6.12323399573684E-017)); #1620=DIRECTION('ref_axis',(0.,-0.116010585043201,-0.993247977172838)); #1621=DIRECTION('center_axis',(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1622=DIRECTION('ref_axis',(1.38729796430799E-015,0.993247977172838,-0.116010585043201)); #1623=DIRECTION('center_axis',(1.,-1.38957681912883E-015,6.12323399573684E-017)); #1624=DIRECTION('ref_axis',(0.,-0.116010585043201,-0.993247977172838)); #1625=DIRECTION('center_axis',(-1.,1.38957681912883E-015,-6.12323399573684E-017)); #1626=DIRECTION('ref_axis',(1.38729796430799E-015,0.993247977172838,-0.116010585043201)); #1627=DIRECTION('center_axis',(-0.5,-0.866025403784439,-3.97517642586463E-016)); #1628=DIRECTION('ref_axis',(7.20909917768964E-005,-4.16217535089913E-005, 0.999999996535259)); #1629=DIRECTION($,(7.20909917761593E-005,-4.16217535085717E-005,0.999999996535259)); #1630=DIRECTION('center_axis',(0.5,0.866025403784439,3.97517642586463E-016)); #1631=DIRECTION('ref_axis',(-0.866025400783885,0.49999999826763,8.32435070174044E-005)); #1632=DIRECTION('center_axis',(-0.5,-0.866025403784439,-3.97517642586463E-016)); #1633=DIRECTION('ref_axis',(7.20909917768964E-005,-4.16217535089913E-005, 0.999999996535259)); #1634=DIRECTION($,(-7.20909917774244E-005,4.16217535093021E-005,-0.999999996535259)); #1635=DIRECTION('center_axis',(0.5,0.866025403784439,3.97517642586463E-016)); #1636=DIRECTION('ref_axis',(-0.866025400783885,0.49999999826763,8.32435070174044E-005)); #1637=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1638=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-4.90029472938E-016)); #1639=DIRECTION('center_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1640=DIRECTION('ref_axis',(0.99981741974075,0.0191083014145449,-6.73951516687945E-016)); #1641=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1642=DIRECTION('ref_axis',(0.99981741974075,0.0191083014145448,-6.73951516687945E-016)); #1643=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1644=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-7.105427357601E-016)); #1645=DIRECTION($,(0.707106781186551,-0.707106781186544,-4.61278544856622E-016)); #1646=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1647=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1648=DIRECTION($,(-0.707106781186548,-0.707106781186547,4.91430621346109E-016)); #1649=DIRECTION('center_axis',(0.707106781186545,0.707106781186551,-4.91430621346107E-016)); #1650=DIRECTION('ref_axis',(7.105427357601E-016,0.,1.)); #1651=DIRECTION($,(6.73667111920531E-016,2.1320737752573E-017,1.)); #1652=DIRECTION($,(-0.707106781186551,0.707106781186545,4.61278544856622E-016)); #1653=DIRECTION($,(-6.73667111920531E-016,-2.1320737752573E-017,-1.)); #1654=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,4.6127854485662E-016)); #1655=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,-4.91430621346109E-016)); #1656=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,-4.6127854485662E-016)); #1657=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,-4.91430621346109E-016)); #1658=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1659=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,-4.91430621346109E-016)); #1660=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,-4.6127854485662E-016)); #1661=DIRECTION('ref_axis',(7.105427357601E-016,0.,1.)); #1662=DIRECTION($,(-6.73667111920531E-016,-2.1320737752573E-017,-1.)); #1663=DIRECTION($,(0.707106781186548,0.707106781186547,-4.91430621346109E-016)); #1664=DIRECTION($,(0.707106781186548,0.707106781186547,-4.91430621346109E-016)); #1665=DIRECTION($,(-6.73667111920531E-016,-2.1320737752573E-017,-1.)); #1666=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1667=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,4.91430621346109E-016)); #1668=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1669=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,4.91430621346109E-016)); #1670=DIRECTION('center_axis',(-0.5,0.866025403784438,3.18369255439111E-016)); #1671=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1672=DIRECTION('center_axis',(0.5,-0.866025403784438,-3.18369255439111E-016)); #1673=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1674=DIRECTION('center_axis',(0.5,-0.866025403784438,-3.18369255439111E-016)); #1675=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1676=DIRECTION('center_axis',(1.,3.88578058618805E-016,-6.73667111920531E-016)); #1677=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1678=DIRECTION('center_axis',(-1.,-3.88578058618805E-016,6.73667111920531E-016)); #1679=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1680=DIRECTION('center_axis',(-1.,-3.88578058618805E-016,6.73667111920531E-016)); #1681=DIRECTION('ref_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1682=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1683=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1684=DIRECTION('center_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1685=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,4.6127854485662E-016)); #1686=DIRECTION('center_axis',(6.73667111920515E-016,2.1320737752581E-017, 1.)); #1687=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,7.105427357601E-016)); #1688=DIRECTION('center_axis',(-6.73667111920515E-016,-2.1320737752581E-017, -1.)); #1689=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-4.91430621346108E-016)); #1690=DIRECTION('center_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1691=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-4.91430621346108E-016)); #1692=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1693=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-4.91430621346108E-016)); #1694=DIRECTION('center_axis',(-6.73667111920531E-016,-2.1320737752573E-017, -1.)); #1695=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-5.32907051820075E-016)); #1696=DIRECTION('center_axis',(6.73667111920531E-016,2.1320737752573E-017, 1.)); #1697=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-4.91430621346108E-016)); #1698=DIRECTION('center_axis',(2.22044604925031E-016,5.55111512312578E-016, -1.)); #1699=DIRECTION('ref_axis',(-0.999509443470544,-0.0313188826940385,-1.77635683940025E-016)); #1700=DIRECTION($,(0.999509443470544,0.0313188826940403,2.39321151830484E-016)); #1701=DIRECTION('center_axis',(-2.22044604925031E-016,-5.55111512312578E-016, 1.)); #1702=DIRECTION('ref_axis',(0.0313188826940384,-0.999509443470544,-5.47885009801146E-016)); #1703=DIRECTION('center_axis',(2.22044604925031E-016,5.55111512312578E-016, -1.)); #1704=DIRECTION('ref_axis',(-0.999509443470544,-0.0313188826940385,-1.77635683940025E-016)); #1705=DIRECTION($,(-0.999509443470544,-0.0313188826940363,-2.39321151830482E-016)); #1706=DIRECTION('center_axis',(-2.22044604925031E-016,-5.55111512312578E-016, 1.)); #1707=DIRECTION('ref_axis',(0.0313188826940384,-0.999509443470544,-5.47885009801146E-016)); #1708=DIRECTION('center_axis',(-2.22044604925031E-016,-5.55111512312578E-016, 1.)); #1709=DIRECTION('ref_axis',(0.999509443470544,0.0313188826940385,1.77635683940025E-016)); #1710=DIRECTION('center_axis',(-2.22044604925031E-016,-5.55111512312578E-016, 1.)); #1711=DIRECTION('ref_axis',(-0.0313188826940385,0.999509443470544,5.47885009801146E-016)); #1712=DIRECTION($,(0.999509443470544,0.0313188826940363,2.39321151830482E-016)); #1713=DIRECTION('center_axis',(-2.22044604925031E-016,-5.55111512312578E-016, 1.)); #1714=DIRECTION('ref_axis',(-0.0313188826940385,0.999509443470544,5.47885009801146E-016)); #1715=DIRECTION($,(-0.999509443470544,-0.0313188826940403,-2.39321151830484E-016)); #1716=DIRECTION('center_axis',(6.10622663543836E-016,4.99600361081321E-016, -1.)); #1717=DIRECTION('ref_axis',(-0.404245733721474,0.914650417792496,1.77635683940025E-016)); #1718=DIRECTION('center_axis',(-6.10622663543836E-016,-4.99600361081321E-016, 1.)); #1719=DIRECTION('ref_axis',(-0.914650417792496,-0.404245733721474,-7.60467588856768E-016)); #1720=DIRECTION('center_axis',(6.10622663543836E-016,4.99600361081321E-016, -1.)); #1721=DIRECTION('ref_axis',(-0.914650417792496,-0.404245733721474,-7.60467588856768E-016)); #1722=CARTESIAN_POINT('',(0.,0.,0.)); #1723=CARTESIAN_POINT('Origin',(0.,0.,26.5)); #1724=CARTESIAN_POINT('',(-16.1294935686701,22.2730652856366,26.5)); #1725=CARTESIAN_POINT('',(-16.1294935686701,-22.2730652856366,26.5)); #1726=CARTESIAN_POINT('Origin',(0.,0.,26.5)); #1727=CARTESIAN_POINT('',(-15.2053831693275,21.090194941582,26.5)); #1728=CARTESIAN_POINT('Origin',(-25.5,14.,26.5)); #1729=CARTESIAN_POINT('',(-15.2053831693275,-21.090194941582,26.5)); #1730=CARTESIAN_POINT('Origin',(0.,0.,26.5)); #1731=CARTESIAN_POINT('Origin',(-25.5,-14.,26.5)); #1732=CARTESIAN_POINT('Origin',(2.91506088511222E-030,3.3803165614016E-031, 17.5)); #1733=CARTESIAN_POINT('',(11.,1.34711147906209E-015,0.5)); #1734=CARTESIAN_POINT('Origin',(2.91506088511222E-030,7.1054930582786E-031, 0.5)); #1735=CARTESIAN_POINT('',(11.,-1.34711147906209E-015,17.)); #1736=CARTESIAN_POINT('Origin',(2.91506088511222E-030,3.48988057601563E-031, 17.)); #1737=CARTESIAN_POINT('Origin',(2.91506088511222E-030,7.1054930582786E-031, 0.25)); #1738=CARTESIAN_POINT('',(11.5,-1.40834381901946E-015,0.)); #1739=CARTESIAN_POINT('Origin',(2.91506088511222E-030,7.1054930582786E-031, 0.)); #1740=CARTESIAN_POINT('Origin',(0.,0.,0.)); #1741=CARTESIAN_POINT('',(-12.621,-18.1865334794732,0.)); #1742=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,0.)); #1743=CARTESIAN_POINT('',(-22.2499932112792,10.256110476103,0.)); #1744=CARTESIAN_POINT('',(-22.2499932112792,-10.256110476103,0.)); #1745=CARTESIAN_POINT('Origin',(0.,0.,0.)); #1746=CARTESIAN_POINT('',(-21.0643660932926,12.5116937737361,0.)); #1747=CARTESIAN_POINT('Origin',(-19.5,10.25,0.)); #1748=CARTESIAN_POINT('',(-21.0643660932926,-12.5116937737361,0.)); #1749=CARTESIAN_POINT('Origin',(0.,0.,0.)); #1750=CARTESIAN_POINT('Origin',(-19.5,-10.25,0.)); #1751=CARTESIAN_POINT('',(18.879,2.59747586099153E-016,0.)); #1752=CARTESIAN_POINT('Origin',(21.,0.,0.)); #1753=CARTESIAN_POINT('',(-12.621,18.1865334794732,0.)); #1754=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,0.)); #1755=CARTESIAN_POINT('Origin',(0.,0.,3.7)); #1756=CARTESIAN_POINT('',(25.3,-3.0983564018428E-015,4.)); #1757=CARTESIAN_POINT('Origin',(0.,0.,4.)); #1758=CARTESIAN_POINT('',(25.,3.06161699786838E-015,3.7)); #1759=CARTESIAN_POINT('Origin',(0.,0.,3.7)); #1760=CARTESIAN_POINT('Origin',(0.,0.,4.)); #1761=CARTESIAN_POINT('',(28.5,3.49024337756996E-015,4.)); #1762=CARTESIAN_POINT('Origin',(0.,0.,4.)); #1763=CARTESIAN_POINT('Origin',(0.,0.,0.)); #1764=CARTESIAN_POINT('',(25.,-3.06161699786838E-015,0.5)); #1765=CARTESIAN_POINT('Origin',(0.,0.,0.5)); #1766=CARTESIAN_POINT('Origin',(0.,0.,4.25)); #1767=CARTESIAN_POINT('',(29.,-3.55147571752732E-015,4.5)); #1768=CARTESIAN_POINT('Origin',(0.,0.,4.5)); #1769=CARTESIAN_POINT('Origin',(0.,0.,4.)); #1770=CARTESIAN_POINT('',(29.,3.55147571752732E-015,7.)); #1771=CARTESIAN_POINT('Origin',(0.,0.,7.)); #1772=CARTESIAN_POINT('Origin',(0.,0.,0.25)); #1773=CARTESIAN_POINT('Ctrl Pts',(-21.0643660932926,-12.5116937737361,5.02581153532999E-016)); #1774=CARTESIAN_POINT('Ctrl Pts',(-21.0931757539701,-12.4917667363774,0.0145933163381794)); #1775=CARTESIAN_POINT('Ctrl Pts',(-21.1217287531661,-12.4712030713386,0.028702968530183)); #1776=CARTESIAN_POINT('Ctrl Pts',(-21.3083356233403,-12.3312503206848,0.118520057123614)); #1777=CARTESIAN_POINT('Ctrl Pts',(-21.4574424089646,-12.1923205983975,0.178623388056255)); #1778=CARTESIAN_POINT('Ctrl Pts',(-21.7228238465711,-11.8819449251514,0.259273557478187)); #1779=CARTESIAN_POINT('Ctrl Pts',(-21.839079743961,-11.710480068822,0.279809350060206)); #1780=CARTESIAN_POINT('Ctrl Pts',(-22.0293237131804,-11.3485525176242,0.279809350060206)); #1781=CARTESIAN_POINT('Ctrl Pts',(-22.1046378799383,-11.1555670080138,0.259273557478187)); #1782=CARTESIAN_POINT('Ctrl Pts',(-22.209813454478,-10.7609805605916,0.178623388056255)); #1783=CARTESIAN_POINT('Ctrl Pts',(-22.2397010689849,-10.559384350922,0.118520057123616)); #1784=CARTESIAN_POINT('Ctrl Pts',(-22.2491676168026,-10.3263193795424,0.0287029685301842)); #1785=CARTESIAN_POINT('Ctrl Pts',(-22.2499153754797,-10.2911401393591,0.0145933163381779)); #1786=CARTESIAN_POINT('Ctrl Pts',(-22.2499932112792,-10.256110476103,-2.17504933708638E-015)); #1787=CARTESIAN_POINT('Ctrl Pts',(-22.2499932112792,10.256110476103,-2.17504933708638E-015)); #1788=CARTESIAN_POINT('Ctrl Pts',(-22.2499153754797,10.2911401393591,0.014593316338177)); #1789=CARTESIAN_POINT('Ctrl Pts',(-22.2491676168026,10.3263193795424,0.0287029685301831)); #1790=CARTESIAN_POINT('Ctrl Pts',(-22.2397010689849,10.559384350922,0.118520057123614)); #1791=CARTESIAN_POINT('Ctrl Pts',(-22.209813454478,10.7609805605916,0.178623388056257)); #1792=CARTESIAN_POINT('Ctrl Pts',(-22.1046378799383,11.1555670080138,0.259273557478189)); #1793=CARTESIAN_POINT('Ctrl Pts',(-22.0293237131804,11.3485525176242,0.279809350060206)); #1794=CARTESIAN_POINT('Ctrl Pts',(-21.839079743961,11.710480068822,0.279809350060206)); #1795=CARTESIAN_POINT('Ctrl Pts',(-21.7228238465711,11.8819449251514,0.259273557478187)); #1796=CARTESIAN_POINT('Ctrl Pts',(-21.4574424089646,12.1923205983975,0.178623388056255)); #1797=CARTESIAN_POINT('Ctrl Pts',(-21.3083356233403,12.3312503206848,0.118520057123614)); #1798=CARTESIAN_POINT('Ctrl Pts',(-21.1217287531661,12.4712030713386,0.0287029685301834)); #1799=CARTESIAN_POINT('Ctrl Pts',(-21.0931757539701,12.4917667363774,0.0145933163381794)); #1800=CARTESIAN_POINT('Ctrl Pts',(-21.0643660932926,12.5116937737361,5.02581153532999E-016)); #1801=CARTESIAN_POINT('Origin',(-19.5,10.25,0.)); #1802=CARTESIAN_POINT('',(-22.25,10.25,11.)); #1803=CARTESIAN_POINT('Origin',(-19.5,10.25,11.)); #1804=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,0.25)); #1805=CARTESIAN_POINT('',(-12.121,18.1865334794732,0.5)); #1806=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,0.5)); #1807=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,4.5)); #1808=CARTESIAN_POINT('',(-12.121,18.1865334794732,9.)); #1809=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,9.)); #1810=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,0.25)); #1811=CARTESIAN_POINT('',(-12.121,-18.1865334794732,0.5)); #1812=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,0.5)); #1813=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,4.5)); #1814=CARTESIAN_POINT('',(-12.121,-18.1865334794732,9.)); #1815=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,9.)); #1816=CARTESIAN_POINT('Origin',(21.,0.,0.25)); #1817=CARTESIAN_POINT('',(19.379,-1.98515246141786E-016,0.5)); #1818=CARTESIAN_POINT('Origin',(21.,0.,0.5)); #1819=CARTESIAN_POINT('Origin',(21.,0.,4.5)); #1820=CARTESIAN_POINT('',(19.379,0.,9.)); #1821=CARTESIAN_POINT('Origin',(21.,0.,9.)); #1822=CARTESIAN_POINT('Origin',(-10.5,18.1865334794732,9.48699753172184)); #1823=CARTESIAN_POINT('Origin',(-10.5,-18.1865334794732,9.48699753172184)); #1824=CARTESIAN_POINT('Origin',(21.,0.,9.48699753172184)); #1825=CARTESIAN_POINT('Origin',(-19.5,10.25,11.)); #1826=CARTESIAN_POINT('',(-21.1,10.25,11.)); #1827=CARTESIAN_POINT('Origin',(-19.5,10.25,11.)); #1828=CARTESIAN_POINT('Origin',(-19.5,-10.25,0.)); #1829=CARTESIAN_POINT('',(-22.25,-10.25,11.)); #1830=CARTESIAN_POINT('Origin',(-19.5,-10.25,11.)); #1831=CARTESIAN_POINT('Origin',(-19.5,-10.25,11.)); #1832=CARTESIAN_POINT('',(-21.1,-10.25,11.)); #1833=CARTESIAN_POINT('Origin',(-19.5,-10.25,11.)); #1834=CARTESIAN_POINT('Origin',(0.,0.,10.)); #1835=CARTESIAN_POINT('',(29.,3.55147571752732E-015,10.)); #1836=CARTESIAN_POINT('Origin',(0.,0.,10.)); #1837=CARTESIAN_POINT('',(25.,3.06161699786838E-015,10.)); #1838=CARTESIAN_POINT('Origin',(0.,0.,10.)); #1839=CARTESIAN_POINT('Origin',(0.,0.,10.)); #1840=CARTESIAN_POINT('',(29.,3.55147571752732E-015,11.)); #1841=CARTESIAN_POINT('Origin',(0.,0.,11.)); #1842=CARTESIAN_POINT('Origin',(0.,0.,11.)); #1843=CARTESIAN_POINT('',(26.25,3.2146978477618E-015,11.)); #1844=CARTESIAN_POINT('Origin',(0.,0.,11.)); #1845=CARTESIAN_POINT('Origin',(0.,0.,7.)); #1846=CARTESIAN_POINT('',(25.,3.06161699786838E-015,7.)); #1847=CARTESIAN_POINT('Origin',(0.,0.,7.)); #1848=CARTESIAN_POINT('Origin',(0.,0.,7.)); #1849=CARTESIAN_POINT('Origin',(-1.98445373779774E-013,2.72296096917192E-015, 1.29999999999987)); #1850=CARTESIAN_POINT('',(0.94567571085505,-5.21494941968744,1.)); #1851=CARTESIAN_POINT('Origin',(-1.95533248761635E-013,2.72296096917192E-015, 0.999999999999992)); #1852=CARTESIAN_POINT('',(0.892146897033052,-4.91976360347872,1.3)); #1853=CARTESIAN_POINT('Origin',(-1.98445373779776E-013,2.72296096917192E-015, 1.29999999999999)); #1854=CARTESIAN_POINT('Origin',(1.04827260401387,-5.7807222340875,1.)); #1855=CARTESIAN_POINT('',(1.07057627643971,-5.90371632417447,1.)); #1856=CARTESIAN_POINT('Origin',(-1.95533248761635E-013,2.72296096917192E-015, 0.999999999999992)); #1857=CARTESIAN_POINT('Origin',(-1.98274529112045E-013,2.72296096917192E-015, 1.28240000000006)); #1858=CARTESIAN_POINT('',(1.12096473318414,-6.18158457249894,1.5648)); #1859=CARTESIAN_POINT('Origin',(-2.01015809462455E-013,2.72296096917192E-015, 1.56479999999999)); #1860=CARTESIAN_POINT('',(1.0705762764397,-5.90371632417447,1.2824)); #1861=CARTESIAN_POINT('Origin',(-1.98274529112045E-013,2.72296096917192E-015, 1.28239999999999)); #1862=CARTESIAN_POINT('Origin',(1.80213673200697,-9.93792247902702,1.00000000000001)); #1863=CARTESIAN_POINT('',(1.90919435965096,-10.5282941114445,1.00000000000001)); #1864=CARTESIAN_POINT('Origin',(-1.95533248761635E-013,2.72296096917192E-015, 0.999999999999992)); #1865=CARTESIAN_POINT('',(1.69507910436298,-9.34755084660957,1.00000000000001)); #1866=CARTESIAN_POINT('Origin',(-1.95533248761635E-013,2.72296096917192E-015, 0.999999999999992)); #1867=CARTESIAN_POINT('Origin',(-1.98445373779774E-013,2.72296096917192E-015, 1.29999999999987)); #1868=CARTESIAN_POINT('',(1.96272317347295,-10.8234799276532,1.30000000000001)); #1869=CARTESIAN_POINT('Origin',(-1.98445373779776E-013,2.72296096917192E-015, 1.29999999999999)); #1870=CARTESIAN_POINT('Origin',(-1.98777571744808E-013,2.72296096917192E-015, 1.33422222222219)); #1871=CARTESIAN_POINT('',(1.69507910436298,-9.34755084660957,1.14622222222221)); #1872=CARTESIAN_POINT('Origin',(-1.96952640066773E-013,2.72296096917192E-015, 1.14622222222219)); #1873=CARTESIAN_POINT('',(1.69507910436298,-9.34755084660957,1.52222222222221)); #1874=CARTESIAN_POINT('Origin',(-2.00602503422843E-013,2.72296096917192E-015, 1.52222222222219)); #1875=CARTESIAN_POINT('Origin',(1.22670198342052,-6.76467495478324,1.33500000000001)); #1876=CARTESIAN_POINT('',(1.38282769040134,-7.62563358539202,1.33500000000001)); #1877=CARTESIAN_POINT('Origin',(-1.98785121698559E-013,2.72296096917192E-015, 1.33499999999999)); #1878=CARTESIAN_POINT('',(1.0705762764397,-5.90371632417447,1.335)); #1879=CARTESIAN_POINT('Origin',(-1.98785121698559E-013,2.72296096917192E-015, 1.33499999999999)); #1880=CARTESIAN_POINT('Origin',(-1.99055706648161E-013,2.72296096917192E-015, 1.36287499999999)); #1881=CARTESIAN_POINT('',(1.53895339738216,-8.4865922160008,1.39075000000001)); #1882=CARTESIAN_POINT('Origin',(-1.99326291597763E-013,2.72296096917192E-015, 1.39074999999999)); #1883=CARTESIAN_POINT('Origin',(-1.99055706648161E-013,2.72296096917192E-015, 1.36287499999999)); #1884=CARTESIAN_POINT('',(1.69507910436298,-9.34755084660957,1.33500000000001)); #1885=CARTESIAN_POINT('Origin',(-1.98785121698559E-013,2.72296096917192E-015, 1.33499999999999)); #1886=CARTESIAN_POINT('Origin',(-3.37369136411361E-014,2.85882428840973E-015, 25.8)); #1887=CARTESIAN_POINT('',(-3.26347315219035E-014,-9.,25.8)); #1888=CARTESIAN_POINT('Origin',(-3.37369136411361E-014,2.85882428840973E-015, 25.8)); #1889=CARTESIAN_POINT('',(-3.0552831963353E-014,-26.,25.8)); #1890=CARTESIAN_POINT('Origin',(-3.37369136411361E-014,2.85882428840973E-015, 25.8)); #1891=CARTESIAN_POINT('Origin',(-4.85213688631282E-014,1.45203187014349E-015, -0.250000000000006)); #1892=CARTESIAN_POINT('',(-0.600373489918734,4.45977036097184,-0.500000000000006)); #1893=CARTESIAN_POINT('Origin',(-4.86989148650508E-014,1.44425953634091E-015, -0.500000000000006)); #1894=CARTESIAN_POINT('',(-0.667081655465253,4.95530040107982,-6.66133814775094E-015)); #1895=CARTESIAN_POINT('Origin',(-4.83438228612056E-014,1.45980420394606E-015, -6.66133814775094E-015)); #1896=CARTESIAN_POINT('Origin',(-0.533665324372213,3.96424032086386,-0.500000000000006)); #1897=CARTESIAN_POINT('',(-0.440273892607083,3.27049826471268,-0.500000000000006)); #1898=CARTESIAN_POINT('Origin',(-4.86989148650508E-014,1.44425953634091E-015, -0.500000000000006)); #1899=CARTESIAN_POINT('Origin',(-4.2129712793915E-014,1.73183588703622E-015, 8.75)); #1900=CARTESIAN_POINT('',(4.95530040107979,0.667081655465209,17.2)); #1901=CARTESIAN_POINT('Origin',(-3.61286579289315E-014,1.99454076956328E-015, 17.2)); #1902=CARTESIAN_POINT('Origin',(-4.89119700673579E-014,1.43493273577782E-015, -0.800000000000006)); #1903=CARTESIAN_POINT('',(-0.400248993279172,2.97318024064789,-0.800000000000006)); #1904=CARTESIAN_POINT('Origin',(-4.89119700673579E-014,1.43493273577782E-015, -0.800000000000006)); #1905=CARTESIAN_POINT('Origin',(-5.207228890158E-014,1.29658519409197E-015, -5.25000000000001)); #1906=CARTESIAN_POINT('',(-0.400248993279178,2.97318024064789,-9.70000000000001)); #1907=CARTESIAN_POINT('Origin',(-5.52326077358021E-014,1.15823765240612E-015, -9.70000000000001)); #1908=CARTESIAN_POINT('Origin',(-5.53391353369557E-014,1.15357425212458E-015, -9.85000000000001)); #1909=CARTESIAN_POINT('',(-0.360224093951267,2.6758622165831,-10.)); #1910=CARTESIAN_POINT('Origin',(-5.54456629381092E-014,1.14891085184303E-015, -10.)); #1911=CARTESIAN_POINT('Origin',(-0.200124496639617,1.48659012032395,-10.)); #1912=CARTESIAN_POINT('Origin',(-20.5256527097602,10.4971450080661,8.9)); #1913=CARTESIAN_POINT('',(-19.6759198955503,8.23929607272173,8.9)); #1914=CARTESIAN_POINT('',(-18.7407755207887,12.1201487328523,8.9)); #1915=CARTESIAN_POINT($,(-19.2083477081695,10.179722402787,8.9)); #1916=CARTESIAN_POINT('Origin',(-19.5,10.25,8.9)); #1917=CARTESIAN_POINT('Origin',(-20.5256527097602,10.4971450080661,8.9)); #1918=CARTESIAN_POINT('',(-19.3240801044497,12.2607039272783,8.9)); #1919=CARTESIAN_POINT('',(-20.2592244792113,8.3798512671477,8.9)); #1920=CARTESIAN_POINT($,(-19.7916522918305,10.320277597213,8.9)); #1921=CARTESIAN_POINT('Origin',(-19.5,10.25,8.9)); #1922=CARTESIAN_POINT('Origin',(-19.5,10.25,9.6)); #1923=CARTESIAN_POINT('',(-20.2954103174643,8.22967990277524,9.6)); #1924=CARTESIAN_POINT('',(-19.7121057338033,8.08912470834926,9.6)); #1925=CARTESIAN_POINT('Origin',(-19.5,10.25,9.6)); #1926=CARTESIAN_POINT('',(-19.2878942661967,12.4108752916507,9.6)); #1927=CARTESIAN_POINT($,(-19.2645703127331,12.5076697859416,9.6)); #1928=CARTESIAN_POINT('',(-18.7045896825357,12.2703200972248,9.6)); #1929=CARTESIAN_POINT('Origin',(-19.5,10.25,9.6)); #1930=CARTESIAN_POINT($,(-19.7354296872669,7.99233021405844,9.6)); #1931=CARTESIAN_POINT('Origin',(-19.5,10.25,10.5)); #1932=CARTESIAN_POINT('',(-19.5,11.6,10.5)); #1933=CARTESIAN_POINT('Origin',(-19.5,10.25,10.5)); #1934=CARTESIAN_POINT('',(-19.5,12.75,10.5)); #1935=CARTESIAN_POINT('Origin',(-19.5,10.25,10.5)); #1936=CARTESIAN_POINT('Origin',(-14.2222539113343,25.3905635426442,15.128635169125)); #1937=CARTESIAN_POINT('',(-13.1401226757637,26.0153323027994,16.9796230095325)); #1938=CARTESIAN_POINT('',(-16.1323752656394,24.2877544645513,15.4789900593491)); #1939=CARTESIAN_POINT($,(-14.6362489707015,25.1515433836754,16.2293065344408)); #1940=CARTESIAN_POINT('Origin',(-14.55,25.2013392501272,16.)); #1941=CARTESIAN_POINT('Origin',(-14.4637510292985,25.2511351165791,15.7706934655592)); #1942=CARTESIAN_POINT('',(-15.4190865053826,24.006751599268,15.1411350568474)); #1943=CARTESIAN_POINT('',(-15.8098773242364,24.1275385763197,15.0203769904675)); #1944=CARTESIAN_POINT('Ctrl Pts',(-15.4190865053826,24.006751599268,15.1411350568474)); #1945=CARTESIAN_POINT('Ctrl Pts',(-15.5975987748089,24.0617347419536,15.0859313693633)); #1946=CARTESIAN_POINT('Ctrl Pts',(-15.8098773242364,24.1275385763197,15.0203769904675)); #1947=CARTESIAN_POINT('',(-12.9084155532145,25.4562881493488,16.400251874271)); #1948=CARTESIAN_POINT($,(-15.6729991883027,23.8601550434334,15.0137962954913)); #1949=CARTESIAN_POINT('',(-12.8176247343607,25.8551164145678,16.5210099406509)); #1950=CARTESIAN_POINT('Ctrl Pts',(-12.8176247343607,25.8551164145678,16.5210099406509)); #1951=CARTESIAN_POINT('Ctrl Pts',(-12.866776216845,25.6383758809105,16.4554555617577)); #1952=CARTESIAN_POINT('Ctrl Pts',(-12.9084155532145,25.4562881493488,16.400251874271)); #1953=CARTESIAN_POINT('',(-12.9676247343607,26.1149240357031,16.5210099406509)); #1954=CARTESIAN_POINT($,(-12.8926247343607,25.9850202251354,16.5210099406509)); #1955=CARTESIAN_POINT('',(-15.9598773242364,24.387346197455,15.0203769904675)); #1956=CARTESIAN_POINT($,(-14.4637510292985,25.2511351165791,15.7706934655592)); #1957=CARTESIAN_POINT($,(-15.8848773242364,24.2574423868873,15.0203769904675)); #1958=CARTESIAN_POINT('Origin',(-14.25,24.6817240078566,16.)); #1959=CARTESIAN_POINT('',(-15.5915844467856,23.9071598663643,15.599748125729)); #1960=CARTESIAN_POINT('Origin',(-14.25,24.6817240078566,16.)); #1961=CARTESIAN_POINT('',(-13.0809134946175,25.3566964164451,16.8588649431526)); #1962=CARTESIAN_POINT($,(-12.8270008116974,25.5032929722797,16.9862037045087)); #1963=CARTESIAN_POINT('Origin',(-14.25,24.6817240078566,16.)); #1964=CARTESIAN_POINT('Origin',(-14.2222539113343,25.3905635426442,15.128635169125)); #1965=CARTESIAN_POINT('Origin',(-14.55,25.2013392501272,16.)); #1966=CARTESIAN_POINT('Origin',(29.1,0.248311994293206,15.9709973537392)); #1967=CARTESIAN_POINT('',(28.5,0.431649097645365,17.5406748704714)); #1968=CARTESIAN_POINT('',(28.8,0.466815706160369,17.8417608684305)); #1969=CARTESIAN_POINT('Ctrl Pts',(28.5,0.431649097645363,17.5406748704714)); #1970=CARTESIAN_POINT('Ctrl Pts',(28.6368729330588,0.447725261536814,17.6783141838145)); #1971=CARTESIAN_POINT('Ctrl Pts',(28.8,0.466815706160369,17.8417608684305)); #1972=CARTESIAN_POINT('',(28.5,0.0649748909410529,14.401319837007)); #1973=CARTESIAN_POINT($,(28.5,0.46873210587529,17.8581685103676)); #1974=CARTESIAN_POINT('',(28.8,0.0298082824260442,14.1002338390479)); #1975=CARTESIAN_POINT('Ctrl Pts',(28.8,0.0298082824260442,14.1002338390479)); #1976=CARTESIAN_POINT('Ctrl Pts',(28.6368729330651,0.0488987270488532,14.2636805236575)); #1977=CARTESIAN_POINT('Ctrl Pts',(28.5,0.0649748909410511,14.401319837007)); #1978=CARTESIAN_POINT('',(29.1,0.0298082824260438,14.1002338390479)); #1979=CARTESIAN_POINT($,(28.95,0.029808282426044,14.1002338390479)); #1980=CARTESIAN_POINT('',(29.1,0.466815706160369,17.8417608684305)); #1981=CARTESIAN_POINT($,(29.1,0.248311994293206,15.9709973537392)); #1982=CARTESIAN_POINT($,(28.95,0.466815706160369,17.8417608684305)); #1983=CARTESIAN_POINT('Origin',(29.1,-0.248311994293206,16.0290026462608)); #1984=CARTESIAN_POINT('',(28.8,-0.0298082824260406,17.8997661609521)); #1985=CARTESIAN_POINT('',(28.5,-0.0649748909410489,17.598680162993)); #1986=CARTESIAN_POINT('Ctrl Pts',(28.8,-0.0298082824260407,17.8997661609521)); #1987=CARTESIAN_POINT('Ctrl Pts',(28.6368729330651,-0.0488987270488578, 17.7363194763424)); #1988=CARTESIAN_POINT('Ctrl Pts',(28.5,-0.0649748909410471,17.598680162993)); #1989=CARTESIAN_POINT('',(29.1,-0.029808282426041,17.8997661609521)); #1990=CARTESIAN_POINT($,(28.95,-0.0298082824260408,17.8997661609521)); #1991=CARTESIAN_POINT('',(29.1,-0.466815706160372,14.1582391315695)); #1992=CARTESIAN_POINT($,(29.1,-0.248311994293206,16.0290026462608)); #1993=CARTESIAN_POINT('',(28.8,-0.466815706160371,14.1582391315695)); #1994=CARTESIAN_POINT($,(28.95,-0.466815706160371,14.1582391315695)); #1995=CARTESIAN_POINT('',(28.5,-0.431649097645366,14.4593251295286)); #1996=CARTESIAN_POINT('Ctrl Pts',(28.5,-0.431649097645364,14.4593251295286)); #1997=CARTESIAN_POINT('Ctrl Pts',(28.6368729330651,-0.447725261537559,14.3216858161791)); #1998=CARTESIAN_POINT('Ctrl Pts',(28.8,-0.466815706160371,14.1582391315695)); #1999=CARTESIAN_POINT($,(28.5,-0.46873210587529,14.1418314896324)); #2000=CARTESIAN_POINT('Origin',(28.5,7.97500971042993E-016,16.)); #2001=CARTESIAN_POINT('Origin',(28.5,8.33203990391055E-016,16.)); #2002=CARTESIAN_POINT('Origin',(28.5,8.33203990391055E-016,16.)); #2003=CARTESIAN_POINT('Origin',(29.1,0.943585578314198,15.889789944209)); #2004=CARTESIAN_POINT('Origin',(29.1,-5.42101086242752E-019,16.)); #2005=CARTESIAN_POINT('Origin',(29.1,0.943585578314198,15.889789944209)); #2006=CARTESIAN_POINT('Origin',(29.1,-5.42101086242752E-019,16.)); #2007=CARTESIAN_POINT('Origin',(-15.3727241307447,-24.7263392517729,16.0000790813317)); #2008=CARTESIAN_POINT('',(-14.7666421321963,-25.0762608567858,14.1165399972188)); #2009=CARTESIAN_POINT('',(-14.7663705681956,-25.0764176443347,17.8835016245348)); #2010=CARTESIAN_POINT($,(-14.766506350196,-25.0763392505602,16.0000208108768)); #2011=CARTESIAN_POINT('Origin',(-14.55,-25.2013392501271,16.)); #2012=CARTESIAN_POINT('Origin',(-15.3727241307447,-24.7263392517729,16.0000790813317)); #2013=CARTESIAN_POINT('',(-14.3333578678037,-25.3264176434685,17.8834600027812)); #2014=CARTESIAN_POINT('',(-14.3336294318043,-25.3262608559196,14.1164983754653)); #2015=CARTESIAN_POINT($,(-14.333493649804,-25.3263392496941,15.9999791891233)); #2016=CARTESIAN_POINT('Origin',(-14.55,-25.2013392501271,16.)); #2017=CARTESIAN_POINT('Origin',(-1.80261800157866E-014,2.79266213489123E-015, 46.)); #2018=CARTESIAN_POINT('',(-26.3738251942369,-9.40326244575983,47.)); #2019=CARTESIAN_POINT('',(-27.153436076833,-10.182873328356,46.)); #2020=CARTESIAN_POINT('Ctrl Pts',(-26.3738251942369,-9.40326244575983,47.)); #2021=CARTESIAN_POINT('Ctrl Pts',(-26.4733595615896,-9.50279681311253,47.)); #2022=CARTESIAN_POINT('Ctrl Pts',(-26.5908239326864,-9.62026118420937,46.9693950483673)); #2023=CARTESIAN_POINT('Ctrl Pts',(-26.771371477493,-9.80080872901597,46.8694983532972)); #2024=CARTESIAN_POINT('Ctrl Pts',(-26.8675363582968,-9.89697360981972,46.7850206501992)); #2025=CARTESIAN_POINT('Ctrl Pts',(-27.0007163910688,-10.0301536425918,46.6072206037966)); #2026=CARTESIAN_POINT('Ctrl Pts',(-27.0628227272334,-10.0922599787564,46.4847451986369)); #2027=CARTESIAN_POINT('Ctrl Pts',(-27.1322925902139,-10.1617298417368,46.2600531334688)); #2028=CARTESIAN_POINT('Ctrl Pts',(-27.153436076833,-10.182873328356,46.1196370761829)); #2029=CARTESIAN_POINT('Ctrl Pts',(-27.153436076833,-10.182873328356,46.)); #2030=CARTESIAN_POINT('',(-27.5226909352485,9.13791462439813,46.)); #2031=CARTESIAN_POINT('Origin',(-1.80261800157866E-014,2.79266213489123E-015, 46.)); #2032=CARTESIAN_POINT('',(-26.7280660721754,8.34328976132496,47.)); #2033=CARTESIAN_POINT('Ctrl Pts',(-27.5226909352485,9.13791462439813,46.)); #2034=CARTESIAN_POINT('Ctrl Pts',(-27.5226909352485,9.13791462439813,46.1189044800161)); #2035=CARTESIAN_POINT('Ctrl Pts',(-27.5014110155411,9.11663470469071,46.25838009281)); #2036=CARTESIAN_POINT('Ctrl Pts',(-27.4314169572704,9.04664064641996,46.4824197113352)); #2037=CARTESIAN_POINT('Ctrl Pts',(-27.3685312566059,8.9837549457555,46.6047410219222)); #2038=CARTESIAN_POINT('Ctrl Pts',(-27.2333682342623,8.84859192341188,46.7831777409804)); #2039=CARTESIAN_POINT('Ctrl Pts',(-27.1353438876327,8.75056757678234,46.8680706005567)); #2040=CARTESIAN_POINT('Ctrl Pts',(-26.9507664326563,8.56599012180586,46.969058090715)); #2041=CARTESIAN_POINT('Ctrl Pts',(-26.830188486432,8.44541217558155,47.)); #2042=CARTESIAN_POINT('Ctrl Pts',(-26.7280660721754,8.34328976132495,47.)); #2043=CARTESIAN_POINT('Origin',(-1.7352512903866E-014,2.81398287264381E-015, 47.)); #2044=CARTESIAN_POINT('Origin',(-1.7352512903866E-014,2.81398287264381E-015, 47.)); #2045=CARTESIAN_POINT('',(-21.2132034355965,2.82842712474609,47.)); #2046=CARTESIAN_POINT($,(-15.2027957955108,-3.18198051533953,47.)); #2047=CARTESIAN_POINT('',(-21.2132034355964,-4.24264068711939,47.)); #2048=CARTESIAN_POINT('Origin',(-24.7487373415292,-0.707106781186652,47.)); #2049=CARTESIAN_POINT($,(-17.8193587255358,-0.848795977058735,47.)); #2050=CARTESIAN_POINT('Origin',(-21.2132034355965,2.82842712474609,47.)); #2051=CARTESIAN_POINT('',(-27.5226909352486,9.13791462439814,38.)); #2052=CARTESIAN_POINT($,(-27.5226909352486,9.13791462439813,11.)); #2053=CARTESIAN_POINT('',(-21.2132034355965,2.82842712474609,38.)); #2054=CARTESIAN_POINT($,(-27.5226909352486,9.13791462439814,38.)); #2055=CARTESIAN_POINT($,(-21.2132034355965,2.82842712474609,47.)); #2056=CARTESIAN_POINT('Origin',(-23.8653895443156,-7.24838018643181,42.)); #2057=CARTESIAN_POINT('',(-26.1634865831719,-9.90003061588136,42.)); #2058=CARTESIAN_POINT('Origin',(-23.688612849019,-7.42515688172845,42.)); #2059=CARTESIAN_POINT('',(-24.0421662396122,-7.07160349113518,38.)); #2060=CARTESIAN_POINT('Origin',(-24.0421662396122,-7.07160349113518,42.)); #2061=CARTESIAN_POINT('Origin',(-27.153436076833,-10.182873328356,47.)); #2062=CARTESIAN_POINT('',(-21.2132034355964,-4.24264068711939,38.)); #2063=CARTESIAN_POINT($,(-21.2132034355964,-4.24264068711939,47.)); #2064=CARTESIAN_POINT($,(-21.2132034355964,-4.24264068711939,38.)); #2065=CARTESIAN_POINT('',(-27.153436076833,-10.182873328356,38.)); #2066=CARTESIAN_POINT($,(-21.2132034355964,-4.24264068711939,38.)); #2067=CARTESIAN_POINT($,(-27.1534360768331,-10.182873328356,11.)); #2068=CARTESIAN_POINT('Origin',(-18.7388653807131,-12.3749043500343,42.)); #2069=CARTESIAN_POINT('',(-17.2124492802531,-13.9013204504943,45.5)); #2070=CARTESIAN_POINT('',(-15.5624660302998,-19.8051067174191,43.789575353653)); #2071=CARTESIAN_POINT('Ctrl Pts',(-17.2124492802531,-13.9013204504943,45.5)); #2072=CARTESIAN_POINT('Ctrl Pts',(-17.2207528425676,-14.1141815083721,45.5)); #2073=CARTESIAN_POINT('Ctrl Pts',(-17.2219782180485,-14.3233297965255,45.4894253228186)); #2074=CARTESIAN_POINT('Ctrl Pts',(-17.1870663391074,-15.8328917967072,45.3564964205922)); #2075=CARTESIAN_POINT('Ctrl Pts',(-16.8983750984131,-17.1034358586714,44.9187066556178)); #2076=CARTESIAN_POINT('Ctrl Pts',(-16.1653639780395,-18.8001516293278,44.2203568478205)); #2077=CARTESIAN_POINT('Ctrl Pts',(-15.885681077606,-19.3160969802446,43.98662152735)); #2078=CARTESIAN_POINT('Ctrl Pts',(-15.5624660302998,-19.8051067174191,43.789575353653)); #2079=CARTESIAN_POINT('',(-15.5624660302998,-19.8051067174191,40.2104246463471)); #2080=CARTESIAN_POINT('Origin',(-13.435564521814,-17.6782052089334,42.)); #2081=CARTESIAN_POINT('Ctrl Pts',(-15.5624660302998,-19.8051067174191,40.2104246463471)); #2082=CARTESIAN_POINT('Ctrl Pts',(-15.885681077606,-19.3160969802446,40.01337847265)); #2083=CARTESIAN_POINT('Ctrl Pts',(-16.1653639780395,-18.8001516293278,39.7796431521796)); #2084=CARTESIAN_POINT('Ctrl Pts',(-16.8983750984131,-17.1034358586714,39.0812933443823)); #2085=CARTESIAN_POINT('Ctrl Pts',(-17.1870663391074,-15.8328917967072,38.6435035794079)); #2086=CARTESIAN_POINT('Ctrl Pts',(-17.2264731851489,-14.1289709784742,38.4934598423364)); #2087=CARTESIAN_POINT('Ctrl Pts',(-17.211380614553,-13.6960063189676,38.4872377952168)); #2088=CARTESIAN_POINT('Ctrl Pts',(-17.1247795183566,-12.8498755225491,38.5777194323019)); #2089=CARTESIAN_POINT('Ctrl Pts',(-17.0535247120263,-12.4368400355695,38.6758816128261)); #2090=CARTESIAN_POINT('Ctrl Pts',(-16.8846181795005,-11.6926447655966,38.9720963221301)); #2091=CARTESIAN_POINT('Ctrl Pts',(-16.759207510709,-11.2737872910174,39.2313949968142)); #2092=CARTESIAN_POINT('Ctrl Pts',(-16.5429482498553,-10.6583933328635,39.813988393745)); #2093=CARTESIAN_POINT('Ctrl Pts',(-16.4281279184681,-10.386013494391,40.2032117342218)); #2094=CARTESIAN_POINT('Ctrl Pts',(-16.2677535095326,-10.0275983962142,41.0708724071929)); #2095=CARTESIAN_POINT('Ctrl Pts',(-16.2238818721804,-9.94014039026114,41.5490315820642)); #2096=CARTESIAN_POINT('Ctrl Pts',(-16.2238818721804,-9.94014039026114,42.4509684179358)); #2097=CARTESIAN_POINT('Ctrl Pts',(-16.2677535095326,-10.0275983962142,42.9291275928071)); #2098=CARTESIAN_POINT('Ctrl Pts',(-16.4281279184681,-10.386013494391,43.7967882657783)); #2099=CARTESIAN_POINT('Ctrl Pts',(-16.5429482498553,-10.6583933328635,44.1860116062551)); #2100=CARTESIAN_POINT('Ctrl Pts',(-16.759207510709,-11.2737872910174,44.7686050031859)); #2101=CARTESIAN_POINT('Ctrl Pts',(-16.8846181795005,-11.6926447655966,45.0279036778699)); #2102=CARTESIAN_POINT('Ctrl Pts',(-17.0535247120263,-12.4368400355695,45.324118387174)); #2103=CARTESIAN_POINT('Ctrl Pts',(-17.1247795183566,-12.8498755225491,45.4222805676982)); #2104=CARTESIAN_POINT('Ctrl Pts',(-17.1894750548603,-13.4819793668524,45.4898750706786)); #2105=CARTESIAN_POINT('Ctrl Pts',(-17.204339256043,-13.6934207241611,45.5)); #2106=CARTESIAN_POINT('Ctrl Pts',(-17.2124492802531,-13.9013204504943,45.5)); #2107=CARTESIAN_POINT('Origin',(-14.25,24.6817240078565,16.)); #2108=CARTESIAN_POINT('',(-14.5,25.1147367097487,13.9)); #2109=CARTESIAN_POINT('',(-14.5,25.1147367097487,18.1)); #2110=CARTESIAN_POINT('Ctrl Pts',(-14.5,25.1147367097487,13.9)); #2111=CARTESIAN_POINT('Ctrl Pts',(-14.2745365225759,25.244908109132,13.9)); #2112=CARTESIAN_POINT('Ctrl Pts',(-14.0331488258876,25.3798061943989,13.9551256410641)); #2113=CARTESIAN_POINT('Ctrl Pts',(-13.5888437721011,25.6204440492682,14.1761026202824)); #2114=CARTESIAN_POINT('Ctrl Pts',(-13.3860005119253,25.72638818518,14.3418803317518)); #2115=CARTESIAN_POINT('Ctrl Pts',(-13.0685683810367,25.889072547696,14.7183878772261)); #2116=CARTESIAN_POINT('Ctrl Pts',(-12.9320998410512,25.9570980819352,14.9536290753438)); #2117=CARTESIAN_POINT('Ctrl Pts',(-12.7514647203949,26.0463105699795,15.4652906073006)); #2118=CARTESIAN_POINT('Ctrl Pts',(-12.7073574047733,26.0676632590525,15.7417029513513)); #2119=CARTESIAN_POINT('Ctrl Pts',(-12.7073574047733,26.0676632590525,16.2582970486487)); #2120=CARTESIAN_POINT('Ctrl Pts',(-12.7514647203949,26.0463105699795,16.5347093926994)); #2121=CARTESIAN_POINT('Ctrl Pts',(-12.9320998410512,25.9570980819352,17.0463709246562)); #2122=CARTESIAN_POINT('Ctrl Pts',(-13.0685683810367,25.889072547696,17.2816121227739)); #2123=CARTESIAN_POINT('Ctrl Pts',(-13.3860005119253,25.72638818518,17.6581196682483)); #2124=CARTESIAN_POINT('Ctrl Pts',(-13.5888437721011,25.6204440492682,17.8238973797176)); #2125=CARTESIAN_POINT('Ctrl Pts',(-14.0331488258876,25.3798061943989,18.0448743589359)); #2126=CARTESIAN_POINT('Ctrl Pts',(-14.2745365225759,25.244908109132,18.1)); #2127=CARTESIAN_POINT('Ctrl Pts',(-14.5,25.1147367097487,18.1)); #2128=CARTESIAN_POINT('Ctrl Pts',(-14.5,25.1147367097487,18.1)); #2129=CARTESIAN_POINT('Ctrl Pts',(-14.7254634774242,24.9845653103655,18.1)); #2130=CARTESIAN_POINT('Ctrl Pts',(-14.9629824945314,24.8429664755058,18.0448743589359)); #2131=CARTESIAN_POINT('Ctrl Pts',(-15.3935335168536,24.5785059393315,17.8238973797176)); #2132=CARTESIAN_POINT('Ctrl Pts',(-15.5867054600231,24.4558105909887,17.6581196682483)); #2133=CARTESIAN_POINT('Ctrl Pts',(-15.8863103162048,24.2622484829198,17.2816121227739)); #2134=CARTESIAN_POINT('Ctrl Pts',(-16.0134564269547,24.1780760275946,17.0463709246562)); #2135=CARTESIAN_POINT('Ctrl Pts',(-16.181034268264,24.0662476683127,16.5347093926994)); #2136=CARTESIAN_POINT('Ctrl Pts',(-16.2215798972511,24.0387259570282,16.2582970486487)); #2137=CARTESIAN_POINT('Ctrl Pts',(-16.2215798972511,24.0387259570282,16.)); #2138=CARTESIAN_POINT('Ctrl Pts',(-16.2215798972511,24.0387259570282,15.7417029513513)); #2139=CARTESIAN_POINT('Ctrl Pts',(-16.181034268264,24.0662476683127,15.4652906073006)); #2140=CARTESIAN_POINT('Ctrl Pts',(-16.0134564269547,24.1780760275946,14.9536290753438)); #2141=CARTESIAN_POINT('Ctrl Pts',(-15.8863103162048,24.2622484829198,14.7183878772261)); #2142=CARTESIAN_POINT('Ctrl Pts',(-15.5867054600231,24.4558105909887,14.3418803317518)); #2143=CARTESIAN_POINT('Ctrl Pts',(-15.3935335168536,24.5785059393315,14.1761026202824)); #2144=CARTESIAN_POINT('Ctrl Pts',(-14.9629824945314,24.8429664755058,13.9551256410641)); #2145=CARTESIAN_POINT('Ctrl Pts',(-14.7254634774242,24.9845653103655,13.9)); #2146=CARTESIAN_POINT('Ctrl Pts',(-14.5,25.1147367097487,13.9)); #2147=CARTESIAN_POINT('',(-14.,24.2487113059643,17.1)); #2148=CARTESIAN_POINT('',(-14.,24.2487113059643,14.9)); #2149=CARTESIAN_POINT('Origin',(-14.,24.2487113059643,16.)); #2150=CARTESIAN_POINT('Origin',(-14.,24.2487113059643,16.)); #2151=CARTESIAN_POINT('Origin',(28.5,1.54757162978159E-014,16.)); #2152=CARTESIAN_POINT('',(29.,1.54309427485355E-014,13.9)); #2153=CARTESIAN_POINT('',(29.,1.55204898470963E-014,18.1)); #2154=CARTESIAN_POINT('Ctrl Pts',(29.,1.51961776495568E-014,13.9)); #2155=CARTESIAN_POINT('Ctrl Pts',(29.,-0.260342798766473,13.9)); #2156=CARTESIAN_POINT('Ctrl Pts',(28.9961313204188,-0.536839718893047,13.9551256410641)); #2157=CARTESIAN_POINT('Ctrl Pts',(28.9823772889546,-1.04193810993664,14.1761026202824)); #2158=CARTESIAN_POINT('Ctrl Pts',(28.9727059719483,-1.27057759419122,14.3418803317518)); #2159=CARTESIAN_POINT('Ctrl Pts',(28.9548786972413,-1.62682406477619,14.7183878772261)); #2160=CARTESIAN_POINT('Ctrl Pts',(28.9455562680058,-1.77902205434058,14.9536290753438)); #2161=CARTESIAN_POINT('Ctrl Pts',(28.9324989886588,-1.98006290166672,15.4652906073006)); #2162=CARTESIAN_POINT('Ctrl Pts',(28.9289373020243,-2.02893730202431,15.7417029513513)); #2163=CARTESIAN_POINT('Ctrl Pts',(28.9289373020243,-2.02893730202431,16.2582970486487)); #2164=CARTESIAN_POINT('Ctrl Pts',(28.9324989886588,-1.98006290166672,16.5347093926994)); #2165=CARTESIAN_POINT('Ctrl Pts',(28.9455562680058,-1.77902205434058,17.0463709246562)); #2166=CARTESIAN_POINT('Ctrl Pts',(28.9548786972413,-1.62682406477619,17.2816121227739)); #2167=CARTESIAN_POINT('Ctrl Pts',(28.9727059719483,-1.27057759419123,17.6581196682482)); #2168=CARTESIAN_POINT('Ctrl Pts',(28.9823772889546,-1.04193810993664,17.8238973797176)); #2169=CARTESIAN_POINT('Ctrl Pts',(28.9961313204189,-0.536839718893047,18.0448743589359)); #2170=CARTESIAN_POINT('Ctrl Pts',(29.,-0.260342798766473,18.1)); #2171=CARTESIAN_POINT('Ctrl Pts',(29.,1.54737334057131E-014,18.1)); #2172=CARTESIAN_POINT('Ctrl Pts',(29.,1.5404344466674E-014,18.1)); #2173=CARTESIAN_POINT('Ctrl Pts',(29.,0.260342798766502,18.1)); #2174=CARTESIAN_POINT('Ctrl Pts',(28.9961313204188,0.536839718893076,18.0448743589359)); #2175=CARTESIAN_POINT('Ctrl Pts',(28.9823772889546,1.04193810993667,17.8238973797176)); #2176=CARTESIAN_POINT('Ctrl Pts',(28.9727059719483,1.27057759419126,17.6581196682482)); #2177=CARTESIAN_POINT('Ctrl Pts',(28.9548786972413,1.62682406477622,17.2816121227739)); #2178=CARTESIAN_POINT('Ctrl Pts',(28.9455562680058,1.77902205434061,17.0463709246562)); #2179=CARTESIAN_POINT('Ctrl Pts',(28.9324989886588,1.98006290166675,16.5347093926994)); #2180=CARTESIAN_POINT('Ctrl Pts',(28.9289373020243,2.02893730202434,16.2582970486487)); #2181=CARTESIAN_POINT('Ctrl Pts',(28.9289373020243,2.02893730202434,16.)); #2182=CARTESIAN_POINT('Ctrl Pts',(28.9289373020243,2.02893730202434,15.7417029513513)); #2183=CARTESIAN_POINT('Ctrl Pts',(28.9324989886588,1.98006290166674,15.4652906073006)); #2184=CARTESIAN_POINT('Ctrl Pts',(28.9455562680058,1.7790220543406,14.9536290753438)); #2185=CARTESIAN_POINT('Ctrl Pts',(28.9548786972413,1.62682406477622,14.7183878772261)); #2186=CARTESIAN_POINT('Ctrl Pts',(28.9727059719483,1.27057759419126,14.3418803317518)); #2187=CARTESIAN_POINT('Ctrl Pts',(28.9823772889546,1.04193810993667,14.1761026202824)); #2188=CARTESIAN_POINT('Ctrl Pts',(28.9961313204188,0.536839718893076,13.9551256410641)); #2189=CARTESIAN_POINT('Ctrl Pts',(29.,0.260342798766502,13.9)); #2190=CARTESIAN_POINT('Ctrl Pts',(29.,1.51961776495568E-014,13.9)); #2191=CARTESIAN_POINT('',(28.,1.54991691093438E-014,17.1)); #2192=CARTESIAN_POINT('',(28.,1.54522634862881E-014,14.9)); #2193=CARTESIAN_POINT('Origin',(28.,1.54757162978159E-014,16.)); #2194=CARTESIAN_POINT('Origin',(28.,1.54757162978159E-014,16.)); #2195=CARTESIAN_POINT('Origin',(-24.7487373415292,-0.707106781186652,47.)); #2196=CARTESIAN_POINT('Origin',(-24.7487373415292,-0.707106781186652,38.)); #2197=CARTESIAN_POINT('Origin',(-25.368435772563,-0.521974624534693,38.)); #2198=CARTESIAN_POINT('Origin',(-2.34155169111508E-014,2.62209623287065E-015, 38.)); #2199=CARTESIAN_POINT('Origin',(-4.16045289330052E-014,2.04643631355118E-015, 11.)); #2200=CARTESIAN_POINT('',(-14.5,-25.1147367097487,13.9)); #2201=CARTESIAN_POINT('',(-14.5,-25.1147367097487,18.1)); #2202=CARTESIAN_POINT('Ctrl Pts',(-14.5,-25.1147367097487,13.9)); #2203=CARTESIAN_POINT('Ctrl Pts',(-14.7254634774242,-24.9845653103655,13.9)); #2204=CARTESIAN_POINT('Ctrl Pts',(-14.9629824945314,-24.8429664755058,13.9551256410641)); #2205=CARTESIAN_POINT('Ctrl Pts',(-15.3935335168536,-24.5785059393315,14.1761026202824)); #2206=CARTESIAN_POINT('Ctrl Pts',(-15.5867054600231,-24.4558105909887,14.3418803317518)); #2207=CARTESIAN_POINT('Ctrl Pts',(-15.8863103162048,-24.2622484829198,14.7183878772261)); #2208=CARTESIAN_POINT('Ctrl Pts',(-16.0134564269547,-24.1780760275946,14.9536290753438)); #2209=CARTESIAN_POINT('Ctrl Pts',(-16.181034268264,-24.0662476683127,15.4652906073006)); #2210=CARTESIAN_POINT('Ctrl Pts',(-16.2215798972511,-24.0387259570282,15.7417029513513)); #2211=CARTESIAN_POINT('Ctrl Pts',(-16.2215798972511,-24.0387259570282,16.2582970486487)); #2212=CARTESIAN_POINT('Ctrl Pts',(-16.181034268264,-24.0662476683127,16.5347093926994)); #2213=CARTESIAN_POINT('Ctrl Pts',(-16.0134564269547,-24.1780760275946,17.0463709246562)); #2214=CARTESIAN_POINT('Ctrl Pts',(-15.8863103162048,-24.2622484829198,17.2816121227739)); #2215=CARTESIAN_POINT('Ctrl Pts',(-15.5867054600231,-24.4558105909887,17.6581196682483)); #2216=CARTESIAN_POINT('Ctrl Pts',(-15.3935335168536,-24.5785059393315,17.8238973797176)); #2217=CARTESIAN_POINT('Ctrl Pts',(-14.9629824945314,-24.8429664755058,18.0448743589359)); #2218=CARTESIAN_POINT('Ctrl Pts',(-14.7254634774242,-24.9845653103655,18.1)); #2219=CARTESIAN_POINT('Ctrl Pts',(-14.5,-25.1147367097487,18.1)); #2220=CARTESIAN_POINT('Ctrl Pts',(-14.5,-25.1147367097487,18.1)); #2221=CARTESIAN_POINT('Ctrl Pts',(-14.2745365225759,-25.244908109132,18.1)); #2222=CARTESIAN_POINT('Ctrl Pts',(-14.0331488258876,-25.3798061943989,18.0448743589359)); #2223=CARTESIAN_POINT('Ctrl Pts',(-13.5888437721011,-25.6204440492682,17.8238973797176)); #2224=CARTESIAN_POINT('Ctrl Pts',(-13.3860005119253,-25.72638818518,17.6581196682483)); #2225=CARTESIAN_POINT('Ctrl Pts',(-13.0685683810367,-25.889072547696,17.2816121227739)); #2226=CARTESIAN_POINT('Ctrl Pts',(-12.9320998410512,-25.9570980819352,17.0463709246562)); #2227=CARTESIAN_POINT('Ctrl Pts',(-12.7514647203949,-26.0463105699795,16.5347093926994)); #2228=CARTESIAN_POINT('Ctrl Pts',(-12.7073574047733,-26.0676632590525,16.2582970486487)); #2229=CARTESIAN_POINT('Ctrl Pts',(-12.7073574047733,-26.0676632590525,16.)); #2230=CARTESIAN_POINT('Ctrl Pts',(-12.7073574047733,-26.0676632590525,15.7417029513513)); #2231=CARTESIAN_POINT('Ctrl Pts',(-12.7514647203949,-26.0463105699795,15.4652906073006)); #2232=CARTESIAN_POINT('Ctrl Pts',(-12.9320998410512,-25.9570980819352,14.9536290753438)); #2233=CARTESIAN_POINT('Ctrl Pts',(-13.0685683810367,-25.889072547696,14.7183878772261)); #2234=CARTESIAN_POINT('Ctrl Pts',(-13.3860005119253,-25.72638818518,14.3418803317518)); #2235=CARTESIAN_POINT('Ctrl Pts',(-13.5888437721011,-25.6204440492682,14.1761026202824)); #2236=CARTESIAN_POINT('Ctrl Pts',(-14.0331488258876,-25.3798061943989,13.9551256410641)); #2237=CARTESIAN_POINT('Ctrl Pts',(-14.2745365225759,-25.244908109132,13.9)); #2238=CARTESIAN_POINT('Ctrl Pts',(-14.5,-25.1147367097487,13.9)); #2239=CARTESIAN_POINT('',(-20.5060966544099,-20.5060966544099,11.)); #2240=CARTESIAN_POINT('Origin',(-4.16045289330052E-014,2.04643631355118E-015, 11.)); #2241=CARTESIAN_POINT('Origin',(-4.16045289330052E-014,2.04643631355118E-015, 11.)); #2242=CARTESIAN_POINT('',(-19.7989898732234,-19.7989898732233,11.)); #2243=CARTESIAN_POINT('Origin',(-4.16045289330052E-014,2.04643631355118E-015, 11.)); #2244=CARTESIAN_POINT('Origin',(-19.5330417052788,-9.19550847240826,8.9)); #2245=CARTESIAN_POINT('',(-21.4855906551208,-10.6123642409506,8.9)); #2246=CARTESIAN_POINT('',(-17.4956180152628,-10.4873414251318,8.9)); #2247=CARTESIAN_POINT($,(-19.4906043351918,-10.5498528330412,8.9)); #2248=CARTESIAN_POINT('Origin',(-19.5,-10.25,8.9)); #2249=CARTESIAN_POINT('Origin',(-19.5330417052788,-9.19550847240826,8.9)); #2250=CARTESIAN_POINT('',(-17.5144093448792,-9.88763575904946,8.9)); #2251=CARTESIAN_POINT('',(-21.5043819847372,-10.0126585748682,8.9)); #2252=CARTESIAN_POINT($,(-19.5093956648082,-9.95014716695884,8.9)); #2253=CARTESIAN_POINT('Origin',(-19.5,-10.25,8.9)); #2254=CARTESIAN_POINT('Origin',(-19.5,-10.25,9.6)); #2255=CARTESIAN_POINT('',(-21.6587757965126,-10.0174963897696,9.59999999999999)); #2256=CARTESIAN_POINT('',(-21.6399844668962,-10.6172020558519,9.59999999999999)); #2257=CARTESIAN_POINT('Origin',(-19.5,-10.25,9.6)); #2258=CARTESIAN_POINT('',(-17.3600155331038,-9.88279794414809,9.6)); #2259=CARTESIAN_POINT($,(-17.2604994169995,-9.87967968089725,9.6)); #2260=CARTESIAN_POINT('',(-17.3412242034874,-10.4825036102304,9.6)); #2261=CARTESIAN_POINT('Origin',(-19.5,-10.25,9.6)); #2262=CARTESIAN_POINT($,(-21.7395005830005,-10.6203203191028,9.59999999999999)); #2263=CARTESIAN_POINT('Origin',(-19.5,-10.25,10.5)); #2264=CARTESIAN_POINT('',(-18.2652219359802,-9.70426825947603,10.5)); #2265=CARTESIAN_POINT('Origin',(-19.5,-10.25,10.5)); #2266=CARTESIAN_POINT('',(-17.2133739555188,-9.23938566569633,10.5)); #2267=CARTESIAN_POINT('Origin',(-19.5,-10.25,10.5)); #2268=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#2270, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #2269=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#2268)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#2270,#2273,#2271)) REPRESENTATION_CONTEXT('','3D') ); #2270=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #2271=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #2272=DIMENSIONAL_EXPONENTS(0.,0.,0.,0.,0.,0.,0.); #2273=( CONVERSION_BASED_UNIT('degree',#2275) NAMED_UNIT(#2272) PLANE_ANGLE_UNIT() ); #2274=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #2275=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(0.01745329252), #2274); #2276=SHAPE_DEFINITION_REPRESENTATION(#2277,#2278); #2277=PRODUCT_DEFINITION_SHAPE('',$,#2280); #2278=SHAPE_REPRESENTATION('',(#1176,#1162,#1163,#1164,#1165,#1166,#1167, #1168,#1169,#1170,#1171,#1172,#1173,#1174,#1175),#2269); #2279=PRODUCT_DEFINITION_CONTEXT('3D Mechanical Parts',#2283,'design'); #2280=PRODUCT_DEFINITION('A58','A58',#2281,#2279); #2281=PRODUCT_DEFINITION_FORMATION('',$,#2285); #2282=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#2283); #2283=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #2284=PRODUCT_CONTEXT('3D Mechanical Parts',#2283,'mechanical'); #2285=PRODUCT('A58','A58',$,(#2284)); #2286=PRESENTATION_STYLE_ASSIGNMENT((#2291)); #2287=PRESENTATION_STYLE_ASSIGNMENT((#2292)); #2288=PRESENTATION_STYLE_ASSIGNMENT((#2293)); #2289=PRESENTATION_STYLE_ASSIGNMENT((#2294)); #2290=PRESENTATION_STYLE_ASSIGNMENT((#2295)); #2291=SURFACE_STYLE_USAGE(.BOTH.,#2296); #2292=SURFACE_STYLE_USAGE(.BOTH.,#2297); #2293=SURFACE_STYLE_USAGE(.BOTH.,#2298); #2294=SURFACE_STYLE_USAGE(.BOTH.,#2299); #2295=SURFACE_STYLE_USAGE(.BOTH.,#2300); #2296=SURFACE_SIDE_STYLE($,(#2301)); #2297=SURFACE_SIDE_STYLE($,(#2302)); #2298=SURFACE_SIDE_STYLE($,(#2303)); #2299=SURFACE_SIDE_STYLE($,(#2304)); #2300=SURFACE_SIDE_STYLE($,(#2305)); #2301=SURFACE_STYLE_FILL_AREA(#2306); #2302=SURFACE_STYLE_FILL_AREA(#2307); #2303=SURFACE_STYLE_FILL_AREA(#2308); #2304=SURFACE_STYLE_FILL_AREA(#2309); #2305=SURFACE_STYLE_FILL_AREA(#2310); #2306=FILL_AREA_STYLE($,(#2311)); #2307=FILL_AREA_STYLE($,(#2312)); #2308=FILL_AREA_STYLE($,(#2313)); #2309=FILL_AREA_STYLE($,(#2314)); #2310=FILL_AREA_STYLE($,(#2315)); #2311=FILL_AREA_STYLE_COLOUR($,#2316); #2312=FILL_AREA_STYLE_COLOUR($,#2317); #2313=FILL_AREA_STYLE_COLOUR($,#2318); #2314=FILL_AREA_STYLE_COLOUR($,#2319); #2315=FILL_AREA_STYLE_COLOUR($,#2320); #2316=COLOUR_RGB('',0.749019607843137,0.749019607843137,0.749019607843137); #2317=COLOUR_RGB('',0.87843137254902,0.874509803921569,0.858823529411765); #2318=COLOUR_RGB('',0.8,0.8,0.8); #2319=COLOUR_RGB('',0.109803921568627,0.470588235294118,0.109803921568627); #2320=COLOUR_RGB('',0.0392156862745098,0.0392156862745098,0.0392156862745098); ENDSEC; END-ISO-10303-21;